EDA八段动态数码管显示设计实验报告.docx

上传人:b****5 文档编号:4357879 上传时间:2022-11-30 格式:DOCX 页数:7 大小:46.43KB
下载 相关 举报
EDA八段动态数码管显示设计实验报告.docx_第1页
第1页 / 共7页
EDA八段动态数码管显示设计实验报告.docx_第2页
第2页 / 共7页
EDA八段动态数码管显示设计实验报告.docx_第3页
第3页 / 共7页
EDA八段动态数码管显示设计实验报告.docx_第4页
第4页 / 共7页
EDA八段动态数码管显示设计实验报告.docx_第5页
第5页 / 共7页
点击查看更多>>
下载资源
资源描述

EDA八段动态数码管显示设计实验报告.docx

《EDA八段动态数码管显示设计实验报告.docx》由会员分享,可在线阅读,更多相关《EDA八段动态数码管显示设计实验报告.docx(7页珍藏版)》请在冰豆网上搜索。

EDA八段动态数码管显示设计实验报告.docx

EDA八段动态数码管显示设计实验报告

第十周动态数码管显示设计报告

姓名:

学号:

专业:

班级:

指导教师:

2012年11月8日

 

《EDA技术及应用》课程设计任务书……………………………………3

实验目的…………………………………………………………………5

设计要求…………………………………………………………………5

实验设备…………………………………………………………………5

扫描原理…………………………………………………………………5

设计任务…………………………………………………………………6

实验程序…………………………………………………………………6

时序仿真波形图…………………………………………………………7

仿真结果…………………………………………………………………8

模拟电路…………………………………………………………………8

设计总结…………………………………………………………………8

课程设计评分表…………………………………………………………9

《EDA技术及应用》课程设计任务书

一、设计题目

动态数码管显示设计

二、设计主要内容

本课题要求掌握使用QuartusII设计数字系统的设计思路和设计方法。

学习VHDL基本逻辑电路的综合设计应用。

掌握VHDL语言的语法规范,掌握时序电路描述方法。

掌握多个数码管动态扫描显示的原理及设计方法。

设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。

利用实验室设备完成系统设计并进行运行调试。

1、具体设计内容如下:

(1)静止显示学号;

(2)动态循环显示学号。

2、提供设计报告,报告要求包括以下内容:

设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。

三、原始资料

1、LED显示模块原理

LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。

位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。

要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。

虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。

多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。

2、系统结构图信号名与芯片引脚对照表

硬件资源

元件引脚

EP3C引脚序号

电路使用说明

LED

数码显示

A

133

该部分电路为固定电路。

使用LED数码显示时请按照器件引脚分配表进行引脚分配后再下载到芯片中。

B

135

C

136

D

137

E

138

F

141

G

142

Dp

128

74LS138

S1

125

S2

126

S3

127

 

 

 

 

3、课程设计使用设备

(1)EDA及SOPC综合实验平台;

(2)导线若干;

(3)PC机;

(4)QuartusII开发工具软件。

四、要求的设计成果

(1)根据控制要求设计硬件电路原理图。

(2)编写用于系统仿真的VHDL源程序。

(3)系统仿真及验证结果。

(4)编写《EDA技术及应用课程设计》报告,课程设计报告内容包括:

①设计方案、课程设计过程和设计思想、方法、原理。

②画出系统的原理图。

③VHDL语言程序及仿真波形。

④参考资料、参考书及参考手册。

⑤其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题及解决方法、对课程设计的认识和建议等。

⑥《可编程控制器技术课程设计》报告可以手写,也可以用电脑编排打印,报告格式按照《华中科技大学武昌分校课程设计管理办法》执行。

课程设计报告要求内容正确完整,图表清晰,叙述简明,语句通顺,字数不得少于2000汉字。

⑦课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页(实物照片贴在A4复印纸上)、成绩评定表的顺序装订。

一、实验目的

1.了解实验箱中8位七段数码管显示模块的工作原理。

2.熟悉VHDL硬件描述语言及设计专用数字集成电路的自顶向下的设计思想。

3.掌握利用CPLD/FPGA设计8位七段数码管扫描显示驱动电路的方法。

二、设计要求

(1)分析设计要求,明确性能指标。

必须仔细分析课题要求,性能,指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

(2)确定合理的总体方案,对各种方案进行比较,以电路的先进性,结构的繁简,成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

(3)设计各单元电路。

总体方案化整为零,分解成若干自行同或单元电路,逐个设计。

(4)组成系统。

在一定幅面的图纸上合理布局,通常是按照信号的流向,采用左进右出的规律摆放各电路,并标出表的说明。

技术指标:

(1)发光强度比

由于数码管各段在同样的驱动电压时,各段正向电流不相同,所以各段发光强度不同,所有段的发光强度值中最大值与最小值之比为发光强度比。

比值可以再1.5-2.3间,最大不能超过2.5.

(2)脉冲正向电流

若笔画显示器每段典型正向直流工作电流为A,则在脉冲下,正向电流可以远大于A。

脉冲占空比越小,脉冲正向电流可以越大。

三、实验设备

1.计算机(配置为:

P4CPU128M内存);

2.实验软件QuartusⅡ

四、扫描原理

为了减少8位显示信号的接口连接线,实验箱中的数码显示采用扫描显示工作模式。

即8位数码管的七段译码输入(a,b,c,d,e,f,g)是并联在一起的,而每一个数码管是通过一个

位选择sel[2..0]来选定的。

sel与数码管之间是一3-8译码的关系,即sel为“000”时,选中

第一个数码管,sel为“111”时,选中第八个数码管。

五、设计任务

本实验要求在课题范围内,自行设计出程序,并模拟出电路图,以实现在8个数码管上轮流显示字符0-F的功能。

六、实验程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityscan_ledis

port(clk:

instd_logic;

sg:

outstd_logic_vector(6downto0);

bt:

outstd_logic_vector(7downto0));

end;

architectureoneofscan_ledissignalcnt8:

std_logic_vector(2downto0);

signala:

integerrange0to15;

beginp1:

process(cnt8)

begincasecnt8is

when"000"=>bt<="00000001";A<=1;

when"001"=>bt<="00000010";A<=3;

when"010"=>bt<="00000100";A<=5;

when"011"=>bt<="00001000";A<=7;

when"100"=>bt<="00010000";A<=9;

when"101"=>bt<="00100000";A<=11;

when"110"=>bt<="01000000";A<=13;

when"111"=>bt<="10000000";A<=15;

whenothers=>null;

endcase;

endprocessp1;

p2:

process(clk)

begin

ifclk'eventandclk='1'thencnt8<=cnt8+1;

endif;

endprocessp2;

p3:

process(a)

begin

caseais

when0=>sg<="0111111";

when1=>sg<="0000110";

when2=>sg<="1011011";

when3=>sg<="1001111";

when4=>sg<="1100110";

when5=>sg<="1101101";

when6=>sg<="1111101";

when7=>sg<="0000111";

when8=>sg<="1111111";

when9=>sg<="1101111";

when10=>sg<="1110111";

when11=>sg<="1111100";

when12=>sg<="0111001";

when13=>sg<="1011110";

when14=>sg<="1111001";

when15=>sg<="0000110";

endcase;

endprocessp3;

end;

七、时序仿真波形图

(1)打开波形编辑器。

选择“file"菜单中的”new"项,在“new"窗口中选择”otherfiles“中的”vectorwaveformfile"项,打开空白编辑器。

(2)设置时间仿真区域。

将仿真时间设置在一个比较合理的时间区域。

选择“edit”菜单中的“endtime...”项,在弹出的窗口中的“time"栏处输入“100”,单位选择“ms”,将整个仿真区域的时间设为10ms,单击“ok”按钮,结束设置。

(3)编辑输入波形。

单击选中波形编辑窗口的时钟信号名“clk1”,使之变成蓝色条,再单击左列的时钟设置键,打开窗口,将“clk”的周期设定为0.5ms,“phase”相位设为默认为零,“dutycycle”占空比设为默认值50%。

(4)设定数据模式。

单击信号“light”旁边的“+”号,可以打开信号的各个分量,查看信号的每一位。

如果双击“+”号左边的信号标记,可以打开该信号格式设置的对话框。

(5)波形文件存盘。

选择“file”菜单下的“save”命令,或直接单击工具栏上的按钮即可。

(6)仿真器参数设计。

选择“assignment”菜单下的“setting。

”项,在“setting”窗口中左侧“category”栏中选择“simulator”项,打开窗口,在“siumlationmode”项目下选择仿真激励文件“light.vwf”。

在“simulationperiod”栏中选择“runsimulationuntilallvectorstimuliareuse”即全程仿真;确认选中“simulationcoveragereporting”;毛刺检测“glitchdetection”为1us;功耗估算“generatesignalactivityfile”。

把所有的参数都设定好了之后,就可以观察相关的波形了。

八、仿真结果

九、模拟电路

十、设计总结

这次课程设计上网查的资料比较多,所以我觉得这次设计做起来比较顺手,基本上没遇到很多自己很难解决的问题。

但是这次试验收获也不少,首先,由于要自己查资料在自己结合着写程序,所以要对程序要一个比较深的了解,基本上每一句都要弄懂,就是因为这我在程序上花了不少时间,把程序弄得很懂,这在一方面让我复习了一下EDA的知识,也加强我对EDA的学习。

然后就是这次设计用的是Quartus这个软件,这个软件我觉得就是英文版的很难学习,应该经常使用才行,正好这次试验需要用这个软件,让我对英文软件有了起码的信心。

通过这次设计,对EDA这个课程有太大的了解!

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 数学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1