DVBS 码型研究及信道编码FPGA实现.docx

上传人:b****6 文档编号:4267530 上传时间:2022-11-28 格式:DOCX 页数:41 大小:411.28KB
下载 相关 举报
DVBS 码型研究及信道编码FPGA实现.docx_第1页
第1页 / 共41页
DVBS 码型研究及信道编码FPGA实现.docx_第2页
第2页 / 共41页
DVBS 码型研究及信道编码FPGA实现.docx_第3页
第3页 / 共41页
DVBS 码型研究及信道编码FPGA实现.docx_第4页
第4页 / 共41页
DVBS 码型研究及信道编码FPGA实现.docx_第5页
第5页 / 共41页
点击查看更多>>
下载资源
资源描述

DVBS 码型研究及信道编码FPGA实现.docx

《DVBS 码型研究及信道编码FPGA实现.docx》由会员分享,可在线阅读,更多相关《DVBS 码型研究及信道编码FPGA实现.docx(41页珍藏版)》请在冰豆网上搜索。

DVBS 码型研究及信道编码FPGA实现.docx

DVBS码型研究及信道编码FPGA实现

DVB-S码型研究及信道编码FPGA实现

摘要

数字电视广播系统,与以往的模拟电业务相比,在节省频谱资源、提高节目质量方面带来了一场新的革命,

DVB(DigitalvidcoBroadcasting)标准的建立更是加速了数字电视广播系统的大规模应用。

DVB标准选定MPEG一2标准作为音频及视频的编码压缩方式,随后对MPEG一2码流进行打包形成TS流(transPortstream),进行多路传输流复用,通过不同媒介进行传输。

本文主要工作:

1、对DVB码型、TS流复用做了深入研究。

2、对信道编码进行了基于VHDL的FPGA实现,并通过QUARTUSii编译实现。

3、对电视视频系统相关编码知识进行扩展与展望。

关键词:

DVB-STSFPGAVHDLQUARTUSII

 

TheResearchOfDVB-SCodeAndTheFPGAImplementationofChannelCode

Abstract

Digitaltelevisionbroadcastingsystem,andcomparedtothepreviousanalogbusiness,savingspectrumresources,improvethequalityofprogramstobringaboutanewrevolution,

DVB(DigitalvideoBroadcasting)standardistoacceleratetheestablishmentofmorelarge-scaleapplicationofdigitaltelevisionbroadcastingsystem.DVBstandardMPEG-2standardastheselectedaudioandvideocompressionencoding,andthenontheMPEG-2TSstreamtoformastreampackage(transPortstream),formulti-transportstreammultiplexing,istransmittedthroughdifferentmedia.

Thispaperwork

Firstly:

ontheDVBpattern,TSstreammultiplexingdonein-depthstudy,

Second:

thechannelcodingbasedontherealizationofVHDL,andcompiledbyQUARTUSiiachieved.

Finally:

toextendknowledgeoftherelevantcodesandProspectsonthetelevisionvideosystems.

KeyWords:

DVB-STSFPGAVHDLQUARTUSII

 

目录

第一章:

DVB简介…………………………………………………

(1)

1.1、DVB定义…………………………………………………

(1)

1.2、DVB核心技术………………………………………………

(1)

1.3、DVB-S卫星数字电视广播标准……………………………

(2)

第二章:

信源编码简介……………………………………………(3)

2.1、信源编码介绍…………………………………………………(3)

2.2、图像:

预测编码……………………………………………(3)

2.3、Ipb帧:

帧内编码……………………………………………(5)

2.4、变换编码……………………………………………………(6)

2.5、其他信源编码标准………………………………………………(6)

第三章:

TS流…………………………………………………(8)

第四章:

信道编码……………………………………………………(12)

4.1、扰码(M序列)…………………………………………………(13)

4.2、(204,188)RS码………………………………………………(16)

4.3、卷积交织………………………………………………………(20)

4.4、(2,1,7)卷积码…………………………………………………(27)

第五章:

拓展与展望……………………………………………………(31)第六章:

参考文献…………………………………………………………(33)

第七章:

谢辞……………………………………………………………(34)

正文:

第一章DVB简介

1.1、DVB定义

DVB,数字视频广播DigitalVideoBroadcasting的缩写,是由DVB项目维护的一系列国际承认的数字电视公开标准。

1993年,欧洲成立了国际数字视频广播组织(DVB组织)。

建立在MPEG-2压缩算法上的数字技术,必须是以市场为导向的数字技术。

DVB的宗旨是要设计一个通用的数字电视系统。

DVB数字广播传输系统利用了包括卫星、有线、地面、SMATV、MNDSD在内的所有通用电视广播传输媒体。

它们分别对应的DVB标准:

DVB-S、DVB-C、DVB-T、DVB-SMATV、DVB-MS和DVB-MC。

(1)从清晰角度来说:

数字高清晰度电视(HDTV)和数字标准清晰度电视(SDTV).前者清晰度是现行模拟电视图像的2倍,色域宽,图像宽高比从4:

3变为16:

9,更加符合人眼视觉特性,高保真多声道环绕立体声。

后者图像分辨力为720*576(PAL制式)和720*480(NTSC制),是一种普及型数字电视,成本低。

(2)从输入数字电视信号的途径和方式分:

卫星数字电视、有线数字电视、地面数字电视。

1.2、DVB核心技术:

(1)系统采用MPEG-2压缩的音频、视频及资料格式作为资源;

(2)系统采用公共MPEG-2传输(TS)复用方式;

(3)系统采用公共的用于描述广播节目的系统服务信息(SI);

(4)系统的第1级信道编码采用R-S前向纠错编码保护;

(5)调制与其他附属的信道编码方式,由不同的传输媒介来确定;使用通用的加扰方法及条件接收接口。

 

1.3、DVB-S:

卫星数字电视广播标准

QPSK工作频率11/12GHZ调制效率高MPEG-2的MP@ML格式,用户端达到CCIR601演播室质量的码率为9Mbit/s可多套节目复用。

DVB-S2:

使用纠错能力更强的低密度奇偶校验码(Lowdesityparitycheek,LDPC)和BCH码级联实现的纠错编码和速率更高的8PSK、16PSK、32APSK调制,她离理论上的香农极限只差0.7DB比DVB-S标准提高了近50/100。

 

第二章信源编解码

2.1、信源编码介绍

无论HDTV还是SDTV未压缩的数字电视信号都具有很高的数据速率,不能在1个6MHZ或8MHZ的电视频道射频带宽内传输。

(1)视频压缩编码:

各国基本采用MPEG-2标准。

更先进的MPEG-4以及MPEG-4AVC/H.264标准能使一个HDTV带宽由15MBIT/S降低到6-7MBIT/S。

(2)音频压缩编码:

美国:

5.1声道环绕声压缩DOLBYAC-3;日本:

MPEG-2AAC;欧洲MPEG-1LAYER1和LAYER2算法。

2.2、图像:

预测编码

图像的近邻像素相似性很强,可以通过对应于一个或多个像素的观测,预测他们相邻像素的估计值。

根据预测像素选取位置的不同,分为帧间预测和帧内预测。

(1)帧内预设编码

DPCM:

DifferentialPulsecodeModulation,差分脉码调制。

这一系统是对实际像素值与其估计值进行量化和编码。

 

(图2.1)

(2)帧间预测

由于视频相邻两帧时间间隔很短,通常变化很少,存在极强的相关性,利用帧间预测可获得更大的压缩比。

(图2.2)

<1>、运动补偿预测:

对于视频序列的图像,采用帧间预测编码可以减少时间域上的冗余度,提高压缩比。

1、对于静止不动的场景,当前帧的图像内容完全相同时。

2、对于运动物体,只要知道运动规律就可以从前一帧图像推算出他的当前位置

3、摄像头对场景的横向移动、焦距的变化会引起整个图像的平移、放大或缩小。

只要摄像机的运动规律和镜头改变参数已知,图像产生的变化也是可以推算出来的。

<2>运动估值:

就是对运动物体的位移作出估计。

1.像素递归法:

根据像素亮度的变化和梯度,通过递归修正来轨迹每个像素的运动矢量。

2.块匹配算法(BMA):

目前最常用的运动估值算法,先将前帧图像分割成M*M的图像子块,并假设子块内所有的像素都作同样的运动。

块大时,可能包括不同图像;块小时,估计精度容易受噪音干扰,不够可靠,且比特率过大。

(如MPEG-1MPEG-2一般采用16*16的块作为匹配单元,这是实践证明较好的折中结果)

A、单向运动补偿预测

B、双向运动补偿预测

C、插值运动补偿预测:

由前后参照预测值的平均值。

2.3、I/P/B帧:

帧内编码帧

I帧特点:

它是一个全帧压缩编码帧。

它将全帧图像信息进行JPEG压缩编码及传输;

P帧(前向预测编码帧)预测与重构:

P帧是以I帧为参考帧,在I帧中找出P帧“某点”的预测值和运动矢量,取预测差值和运动矢量一起传送。

在接收端根据运动矢量从I帧中找出P帧“某点”的预测值并与差值相加以得到P帧“某点”样值,从而可得到完整的P帧。

B帧(双向预测内插编码帧)预测与重构B帧以前面的I或P帧和后面的P帧为参考帧,“找出”B帧“某点”的预测值和两个运动矢量,并取预测差值和运动矢量传送。

接收端根据运动矢量在两个参考帧中“找出(算出)”预测值并与差值求和,得到B帧“某点”样值,从而可得到完整的B帧。

以下是他们的结构图

IPBBBPBBBPBBB...、

 

(图2.3)

 

2.4、变换编码

变换编码:

不直接对空间域数据进行编码,而是首先将空间域图像数据映射变换到另一个正交空间(变换域)得到一组变换系数,然后量化和编码。

图像是缓慢变化的,相邻像素间存在很强的相关性,绝大多数图像子块中的相邻像素灰度级相等或很接近。

(图2.4)

2.5、其他信源编码标准

音频:

MUSICAMAC-3AVS音频立体声

视频:

MPEG-1MPEG-2MPEG-4H.261H.262H.263H.263+H.263++H.264

中国数字音视频编解码技术标准工作组(AVS)

帧内预测、帧间预测、环路滤波、变换编码、量化、熵编码等技术模块。

性能高,编码效率师MPEG-2的2倍以上,复杂度低,算法比H.264明显低。

是我国具备自主知识产权的第二代信源编码标准。

顾名思义,“信源”是信息的“源头”,信源编码技术解决的重点问题是数字音视频海量数据(即初始数据、信源)的编码压缩问题,故也称数字音视频编解码技术。

显而易见,它是其后数字信息传输、存储、播放等环节的前提,因此是数字音视频产业的共性基础标准。

经过十年多演变,音视频编码技术本身和产业应用背景都发生了明显变化,后起之秀辈出。

目前音视频产业可以选择的信源编码标准有四个:

MPEG-2、MPEG-4、MPEG-4AVC(简称AVC,也称JVT、H.264)、AVS。

从制订者分,前三个标准是由MPEG专家组完成的,第四个是我国自主制定的。

从发展阶段分,MPEG-2是第一代信源标准,其余三个为第二代标准。

从主要技术指标——编码效率比较:

MPEG-4是MPEG-2的1.4倍,AVS和AVC相当,都是MPEG-2两倍以上。

AVS具备三大特点:

1.我国牵头制定的、技术先进的第二代信源编码标准——先进;

2.领导国际潮流的专利池管理方案,完备的标准工作组法律文件——自主;

3.制定过程开放、国际化——开放。

 

第三章TS流

我们在网络上通信都是通过发送或接受数据包来实现的。

IP数据包有首部和数据两部分组成的,首部的前一部分是固定长度20字节,是所有IP数据报必须具有的。

首部包括:

总长度、标识、MF、DF、片偏移。

“TS流”和“PS流”是经过MPEG-2系统压缩处理后的两种复合信息流。

据传输媒体的质量不同,MPEG-2中定义了两种复合信息流:

传送流(TS)和节目流(PS)。

在MPEG-2系统中,信息复合/分离的过程称为系统复接/分接,由视频,音频的ES流和辅助数据复接生成的用于实时传输的标准信息流(比如实时广播的电视节目)称为“MPEG-2传送流”(MPEG2-TS)(TS的全称:

TransportStream)。

而“MPEG-2节目流”(MPEG2-PS)主要应用于存储的具有固定时长的节目,如DVD电影(PS的全称:

ProgramStream)。

TS流与PS流的主要区别在于TS流的包结构是固定长度的,而PS流的包结构是可变长度的。

PS包与TS包在结构上的这种差异,导致了它们对传输误码具有不同的抵抗能力,因而应用的环境也有所不同。

TS码流由于采用了固定长度的包结构,当传输误码破坏了某一TS包的同步信息时,接收机可在固定的位置检测它后面包中的同步信息,从而恢复同步,避免了信息丢失。

所以,MPEG2-TS格式的特点就是要求从视频流的任一片段开始都是可以独立解码的。

而PS包由于长度是变化的,一旦某一PS包的同步信息丢失,接收机无法确定下一包的同步位置,就会造成失步,导致严重的信息丢失。

因此,在信道环境较为恶劣,传输误码较高时,一般采用TS码流;而在信道环境较好,传输误码较低时,一般采用PS码流。

由于TS码流具有较强的抵抗传输误码的能力,因此目前在传输媒体(如有线电视)中进行传输的MPEG-2码流基本上都采用了TS码流的格式。

 

数据包介绍

在MPEG-2的标准中,定义了一下4种类型的码流:

基本流(ElementaryStream,Es)、打包的基本流(PacketizedElementaryStream,PES)、节目流(ProgramStream,PS)和传送流(ProgramStream,TS)。

ES:

包含压缩的音、视频数据及辅助数据。

PES:

视频ES和音频ES分别按一定的格式打包。

PS:

是由具有公共事件基准的一个或多个视频、音频PES服用而成的单一码流。

TS:

是由一个或多个独立事件基准的一路货多路节目的多个视频、音频PES复用而成的单一码流。

TS不是由多个PS复用而成,而是由多个PES复用而成,但这些PES可以有一个公共的时间标准,也可以是几个独立的时间基准TS是为易发生无码的传输信道环境和有损存储媒介设计的。

TS包的组成及功能

传送流是由一个或几个不同的PES经传送流打包后组成的复合流。

通常将视频和音频的PES包放在传送流(TS包)的净荷上承载。

TS包的长度是固定的,总是188B。

TS利用节目特定信息表(ProgramSpcificInformation,PSI)来管理码流中各个PES的关系及其他的一些复用信息。

TS的数据结构为固定长度(188B)的包,所以更适合在相对有干扰或无码的环境中传输,比如有线网络、卫星电视或地面广播。

TS包数据包括包头(Header)和净荷(Payload)两部分。

原始的视频和音频经过压缩编码后,首先形成基本流(ES),然后基本流被拆分为许多长度可变的包,形成PES包。

每个PES包都包含包头信息和有效净荷数据,其中净荷数据含有视频或音频信息,最后,属于一套或多套节目的视频、音频、附加数据的PES被拆分成固定长度的TS包并按时分的方式复用成传送流进行传送。

为了使解码器能够找到所有的节目,MPEG-2标准的系统部分(即ISO/IEC13818-1)定义了一组成为节目特定信息(ProgramSpecificInformation,PSI)的表,来说明传送流的内容,其作用是自动设置和引导接收机进行解码。

 

 

(图3.1)

(图3.1)

 

扩展:

用VC++开发环境设计实现了TS流分析与复用软件系统TSAM,测试结果表明软件复用速率达到并远远超过了一路传输码流的处理速度,该软件复用方案是有效可行性的。

用软件实现传输流的复用,可以节约硬件成本,降低系统的开发费用;且算法全部软件实现,可移植性高,具有良好的扩展性,可以方便灵活的加入数字电视节目指南等辅助信息。

 

第四章信道编码

(图4.1)

数字信号在传输中往往由于各种原因,使得在传送的数据流中产生误码,从而使接收端产生图像跳跃、不连续、出现马赛克等现象。

所以通过信道编码这一环节,对数码流进行相应的处理,使系统具有一定的纠错能力和抗干扰能力,可极大地避免码流传送中误码的发生。

误码的处理技术有纠错、交织、线性内插等。

信道编解码的主要作用在于对信道的差错控制。

其理论基础源自香农第二定理。

该定理指出,任何信道都有一定的信道容量C,对任意R

纠错编码的具体做法是:

在发送端,信道编码器按照一定的约束关系给被传输的信息序列附加上一些监督码元,这些多余的码元与信息码元构成编码序列一同被发送到信道。

在接收端信道译码器按照即定的规则对所接收到的码序列中的监督码元和信息码元间的关系进行检验,一旦传输过程中发生差错,则两者间的关系就会受到破坏,从而可以发现错误,乃至纠正错误。

其实质在于利用编码冗余度和对噪声进行均化,为了传输冗余比特就得动用时间、频带、功率以及设备复杂度等冗余资源,而噪声均化的方法主要是加大码长、卷积和交织三种。

但同时也增加了设备的复杂度,编码学家一直在寻求一种信道编码结构,它的性能接近香农限,同时它的编译码复杂度又能够被人们接受。

数字电视中常用的纠错编码,通常采用两次附加纠错码的前向纠错(FEC)编码。

RS编码属于第一个FEC,188字节后附加16字节RS码,构成(204,188)RS码,这也可以称为外编码。

第二个附加纠错码的FEC一般采用卷积编码,又称为内编码。

外编码和内编码结合一起,称之为级联编码。

级联编码后得到的数据流再按规定的调制方式对载频进行调制。

前向纠错码(FEC)的码字是具有一定纠错能力的码型,它在接收端解码后,不仅可以发现错误,而且能够判断错误码元所在的位置,并自动纠错。

这种纠错码信息不需要储存,不需要反馈,实时性好。

所以在广播系统(单向传输系统)都采用这种信道编码方式。

4.1、扰码(M序列)

进行基带信号传输的缺点是其频谱会因数据出现连“1”和连“0”而包含大低频成分,不适应信道的传输特性,也不利于从中提取出时钟信息。

解决办法之一是采用扰码技术,使信号受到随机化处理,变为伪随机序列,又称为“数据随机化”和“能量扩散”处理。

扰码不但能改善位定时的恢复质量,还可以使信号频谱平滑,使帧同步和自适应同步和自适应时域均衡等系统的性能得到改善。

扰码虽然“扰乱”了原有数据的本来规律,但因为是人为的“扰乱”,在接收端很容易去加扰,恢复成原数据流。

实现加扰和解码,需要产生伪随机二进制序列(PRBS)再与输入数据逐个比特作运算。

PRBS也称为m序列,这种m序列与TS的数据码流进行模2加运算后,数据流中的“1”和“0”的连续游程都很短,且出现的概率基本相同。

原理:

在经信道编码(按MPEG一2标准)和传输流复用之后,传输流将以固定数据长度组织成数据帧结构。

DVB标准的传输流复用帧的总长度为188字节,其中包括同步字节47H(01000111)。

发送端的处理总是从同步字节(47H)的最高位(MSB)开始。

每8个数据帧为一帧群的起始点,第一个数据帧的同步字节每个比特翻转,即由47H变为BSH,而第2至第8个数据帧的同步字节不变。

这样,在接收端只要检测到翻转的同步字节,就说明一个新帧群开始。

扰码发生器将第一个数据帧的同步字节翻转,之后的字节进行加扰处理。

 

(图4.2)

上图中,PN码发生器的寄存器初始值为:

100101010000000。

MPEG2数据流中,每8个数据帧组成一个数据组。

PN码发生器的寄存器在传输每一个数据组的开始时初始化一次,为了提供该初始化信号,每一组数据中的第一个MPEG2数据帧的同步字节按比特反转,即47H反转为B8H。

而MPEG2数据流中所有同步字节不参与扰码。

 

(图4.3)

注:

1、PN码发生器的寄存器初始值置为:

100101010000000。

2、PN码发生器在传输每一个数据组(8个数据帧)时初始化一次。

3、每一组数据中的第一个MPEG2数据帧的同步字节按比特反转。

4、MPEG2数据流中所有同步字节不参与扰码。

 

VHDL程序及quartusii编译图

*(本文以下程序未设计比特反转)。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityinterlis

port

a:

instd_logic_vector(7downto0);

b:

outstd_logic_vector(7downto0);

clk:

instd_logic);

endentity;

architectureoneofinterlis

signalseq:

bit_vector(14downto0):

="100101010000000";

signalsel:

bit_vector(14downto0):

="100101010000000";

signalme:

bit_vector(7downto0):

="00000000";

signalte:

bit_vector(7downto0):

="00000000";

begin

process(clk)

begin

IF(clk'eventandclk='1')then

foriin7downto0loop

te(i)<=seq(14)xorseq(13);

sel<=seqsrl1;

sel(0)<=te(i);

endloop;

me<=te;

seq<=sel;

b<=to_stdlogicvector(me)xora;

endif;

ENDPROCESS;

ENDONE;

(图4.4)

4.2、(204,188)RS码

数字信号在传输过程中可能受到各种干扰及信道传输特性不理想的影响使信号发生错误,从而接收到错误的信息。

为了实现数字系统在传输过程可靠性,几乎所有的现代通信系统都把纠错编码作为一个基本组成部

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 理化生

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1