EDA技术使用教程vhdl第四版课后答案潘松版.docx

上传人:b****2 文档编号:414201 上传时间:2022-10-09 格式:DOCX 页数:25 大小:21.19KB
下载 相关 举报
EDA技术使用教程vhdl第四版课后答案潘松版.docx_第1页
第1页 / 共25页
EDA技术使用教程vhdl第四版课后答案潘松版.docx_第2页
第2页 / 共25页
EDA技术使用教程vhdl第四版课后答案潘松版.docx_第3页
第3页 / 共25页
EDA技术使用教程vhdl第四版课后答案潘松版.docx_第4页
第4页 / 共25页
EDA技术使用教程vhdl第四版课后答案潘松版.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

EDA技术使用教程vhdl第四版课后答案潘松版.docx

《EDA技术使用教程vhdl第四版课后答案潘松版.docx》由会员分享,可在线阅读,更多相关《EDA技术使用教程vhdl第四版课后答案潘松版.docx(25页珍藏版)》请在冰豆网上搜索。

EDA技术使用教程vhdl第四版课后答案潘松版.docx

EDA技术使用教程vhdl第四版课后答案潘松版

 

第一章

1-1EDA技术与ASIC设计和FPGA开发有什么关系?

P3~4

答:

利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。

FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。

FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,VHDL有什么特点?

P6

答:

编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?

有哪些类型?

综合在电子设计自动化中的地位是什么?

P5

什么是综合?

答:

在电子设计领域中综合的概念可以表示为:

将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?

答:

(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransportLevel,RTL),即从行为域到结构域的综合,即行为综合。

(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么?

答:

是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么?

P7~10

答:

在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5IP在EDA技术的应用和发展中的意义是什么?

P11~12

答:

IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

第二章

2-1叙述P13~16

答:

1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

2-2IP是什么?

IP与EDA技术的关系是什么?

P24~26

IP是什么?

答:

IP与EDA技术的关系是什么?

答:

IP在EDA技术开发中具有十分重要的地位;与EDA、固IP、硬IP:

软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。

固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。

硬IP提供设计的最终阶段产品:

掩模。

2-3叙述ASIC的设计方法。

P18~19

答:

ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。

半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4FPGA/CPLD在ASIC设计中有什么用途?

P16,18

答:

FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。

P19~23

答:

基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:

设计输入编辑器(作用:

接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。

);HDL综合器(作用:

HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:

行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:

完成目标系统在器件上的布局和布线);下载器(作用:

把设计结果信息下载到对应的实际器件,实现硬件设计)。

第三章

?

说明GAL是怎样实现可编程组合电路与时序电路的。

P34~36

OLMC有何功能?

输出、寄存器输出双向口等。

说明GAL是怎样实现可编程组合电路与时序电路的?

答:

GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2什么是基于乘积项的可编程逻辑结构?

P33~34,40

答:

GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3什么是基于查找表的可编程逻辑结构?

P40~41

答:

FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4FPGA系列器件中的LAB有何作用?

P43~45

答:

FPGA(Cyclone/CycloneII)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。

3-5与传统的测试技术相比,边界扫描技术有何优点?

P47~50

答:

使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。

克服传统的外探针测试法和“针床”夹具测试法无法对ICP58

答:

编程:

基于电可擦除存储单元的EEPROM或Flash技术。

CPLD一股使用此技术进行编程。

CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。

电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:

基于SRAM查找表的编程单元。

编程信息是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在

下次上电后,还需要重新载入编程信息。

大部分FPGA采用该种编程工艺。

该类器件的编程一般称为配置。

对于SRAM型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7请参阅相关资料,并回答问题:

按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件?

MAXII系列又属于什么类型的PLD器件?

为什么?

P54~56

答:

APEX(AdvancedLogicElementMatrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。

MAXII系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。

第四章

4-1:

画出与下例实体描述对应的原理图符号元件:

ENTITYbuf3sIS--实体1:

三态缓冲器

PORT(input:

INSTD_LOGIC;--输入端

enable:

INSTD_LOGIC;--使能端

output:

OUTSTD_LOGIC);--输出端

ENDbuf3x;

ENTITYmux21IS--实体2:

2选1多路选择器

PORT(in0,in1,sel:

INSTD_LOGIC;

output:

OUTSTD_LOGIC);

4-1.答案

 

4-2.图3-30所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序。

选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=‘0’,s0=‘0’;s1=‘0’,s0=‘1’;s1=‘1’,s0=‘0’和s1=‘1’,s0=‘1’分别执行y<=a、y<=b、y<=c、y<=d。

4-2.答案

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYMUX41IS

PORT(s:

INSTD_LOGIC_VECTOR(1DOWNTO0);--输入选择信号

a,b,c,d:

INSTD_LOGIC;--输入信号

y:

OUTSTD_LOGIC);--输出端

ENDENTITY;

ARCHITECTUREARTOFMUX41IS

BEGIN

PROCESS(s)

BEGIN

IF(S="00")THENy<=a;

ELSIF(S="01")THENy<=b;

ELSIF(S="10")THENy<=c;

ELSIF(S="11")THENy<=d;

ELSEy<=NULL;

ENDIF;

EDNPROCESS;

ENDART;

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYMUX41IS

PORT(s:

INSTD_LOGIC_VECTOR(1DOWNTO0);--输入选择信号

a,b,c,d:

INSTD_LOGIC;--输入信号

y:

OUTSTD_LOGIC);--输出端

ENDMUX41;

ARCHITECTUREARTOFMUX41IS

BEGIN

PROCESS(s)

BEGIN

CASEsIS

WHEN“00”=>y<=a;

WHEN“01”=>y<=b;

WHEN“10”=>y<=c;

WHEN“11”=>y<=d;

WHENOTHERS=>NULL;

ENDCASE;

ENDPROCESS;

ENDART;

4-3.图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s=‘0’和’1’时,分别有y<=‘a’和y<=‘b’。

试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。

4-3.答案

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYMUX221IS

PORT(a1,a2,a3:

INSTD_LOGIC_VECTOR(1DOWNTO0);--输入信号

s0,s1:

INSTD_LOGIC;

outy:

OUTSTD_LOGIC);--输出端

ENDENTITY;

ARCHITECTUREONEOFMUX221IS

SIGNALtmp:

STD_LOGIC;

BEGIN

PR01:

PROCESS(s0)

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 人力资源管理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1