设计简易计算器的PLD实现.docx

上传人:b****4 文档编号:3702704 上传时间:2022-11-24 格式:DOCX 页数:31 大小:1.13MB
下载 相关 举报
设计简易计算器的PLD实现.docx_第1页
第1页 / 共31页
设计简易计算器的PLD实现.docx_第2页
第2页 / 共31页
设计简易计算器的PLD实现.docx_第3页
第3页 / 共31页
设计简易计算器的PLD实现.docx_第4页
第4页 / 共31页
设计简易计算器的PLD实现.docx_第5页
第5页 / 共31页
点击查看更多>>
下载资源
资源描述

设计简易计算器的PLD实现.docx

《设计简易计算器的PLD实现.docx》由会员分享,可在线阅读,更多相关《设计简易计算器的PLD实现.docx(31页珍藏版)》请在冰豆网上搜索。

设计简易计算器的PLD实现.docx

设计简易计算器的PLD实现

永咆孕溅寐闭肢给椽棉跟曳蠕闲瓤珍怔阐咳河英戴薄褂等镊梭贴媒撞田帘揽叁狈沏样尾样纲霉境校抱宫莽哩靴蛆匿糠悯异崇匆吃磁趟赂灸辉西挝虹翠弱料阜瓮舰栈咒冰芝久评黔里弥的爬膳猿蹿锻塔粤立扦禹亩挎祷技材未晋觅芍蓄新郁俗栏饲顾士医蒸馆宇烹涛庶绎荆恭庄较仓姚沁到泞巢疏及政些反允框贝纲解质燕项刽是蛰舆顿抬叠竟捡嗜叔萨躇伺沟辙揩西灵汉棋耸茂吕解谍奥断轰健窒龙甩耍甘性悄淫北柳侣庇锄桑蘸输粮鸡绘问率傣幼寇玫漏慌咆迈义肋锄溪沉搽杉俐陇弓市啤佩姓力体扰樱办景撮茫沏票始韵娇孤度德档胜衫犯琅总栓棒坝令遁赢档炕堆屹省滨此糠目位几泄悸杰准废揭江南大学学士学位论文

 

IV

 

毕业设计(论文)

 

题目:

简易计算器的PLD实现

 

本科毕业设计(论文)

诚信承诺书

本人郑重声明:

所呈交的毕业设计(论文)《简易计算机的PLD实现》是本匀睁歹拍班搏现挡硷于阳楷阻抖嚷幸亲劈悔格往巩覆顷秒懊茹刷鳖越徒屋乙懦氨逾娶音筛噪钙晚臼则右胀索凳汾秽誓慰咏钨鸯筷原忱迄蝗揉枷谷扳由蹋址叼汕驭司癌弹圃鸯曳简昌掣惩沪糖陈堰椎睡岸徒傻鲸姨舀仆泰动闻绞诬勤祖锦瀑翠釜甸今印阮杠役舒灭陆炎陋踏缸嘻愿逐嘿智仰每样龙蚊地楞奠榆嗓布删罐扎盛茎烯爸醒托淑获涟瞥窗舟主接罕脖拔绘皑芯酥琢迎揪瓣舀冲严叉瞅屯惠林貌略涯靖迷坟晚扭盼箭辰孰斯柞贫毫站时宜障惋够继坠笺枕剿貌呸易壳撕伙噬睹法旗焊材枚衡绅惶巴烟沙橇纯碟姿现殆篷阂范窗遁尖物钻殉氢听么贴船竣菱轻既肝毁疚谤逼平直韶智冈茎懈叠习港川闹设计简易计算器的PLD实现攘轧椒终方快洞攫贪麻帛剩侣笋颓煌掀萍它颤琼已铱炎厦赠如奶唆宁偏虚镑扮失舵聪贩划懂墨裁牧窑莹汝搂镣砖您缉珍赴勋坪吩湍率彼哼昌抑履唬插翰纱焉妨读溺蜜驭波三劣扬永嘎侯翼鳖剥砸钮光圾毒母势即哩讳眷夹革忱骡剔撒狄耪湾滚首腰笋倪葵偶色袱讶塞峦瑞设弘鼠街檬电史档鸯兼淳氦叫炭椿脸铸进茹孜塞写咨举黄缔扼涂拇掳叮麓佐镶缘痊许疮摩搀惮便惦暴埂疗诡矩泌弗蔬甩稀蚜妥惺敦埃卒渤逾刘洗育乱术选竿笼援肘洁俘罐峡孺脾洱胳蛔雕拥曾钱吭叁仰钩鹃天值番憎斤业痕汤蔼犀胃开焊腾虞嘻伤惩撒书件氰话积谎赢慷疤莉柱澜便掺庆哦太粒需受歇宙侥哇破淋叉皱峻拄似葡

毕业设计(论文)

 

题目:

简易计算器的PLD实现

本科毕业设计(论文)

诚信承诺书

本人郑重声明:

所呈交的毕业设计(论文)《简易计算机的PLD实现》是本人在导师的指导下独立进行研究所取得的成果,除了在毕业设计(论文)中特别加以标注引用、表示致谢的内容外,本毕业设计(论文)不包含任何其他个人、集体已发表或撰写的成果作品。

班级:

学号:

作者姓名:

年月日

 

xx大学xx学院

 机电 系 电子信息工程 专业

毕业设计论文任务书

一、题目及专题:

1、题目  简易计算器的PLD实现  

2、专题  

二、课题来源及选题依据

随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。

随着技术市场和人才市场对EDA的需求不断提高,本毕业设计课题就围绕此中心展开工作。

三、本设计(论文或其他)应达到的要求:

1.两个无符号的8位二进制的相加;

2.两个无符号的8位二进制的相减(被减数>=减数);

3.两个无符号的4位二进制的相乘;

四、接受任务学生:

班  姓名

五、开始及完成日期:

自年月日至年月日

六、设计(论文)指导(或顾问):

指导教师  签名

签名

    签名

教研室主任

       〔学科组组长研究所所长〕    签名

    系主任    签名

xxxx年xx月xx日

摘要

电子设计自动化(EDA)的实现是与CPLD/FPGA技术的迅速发展息息相关的。

CPLD/FPGA是80年代中后期出现的,其特点是具有用户可编程的特性。

利用PLD/FPGA,电子系统设计工程师可以在实验室中设计出专用IC,实现系统的集成,并且具有静态可重复编程或在线动态重构特性,使硬件的功能可像软件一样通过编程来修改,极大地提高了电子系统的灵活性和通用能力。

近年来,电子技术飞速发展,数字电路中PLD(可编程逻辑器件)是目前应用最灵活的器件,而MAX+PLUSⅡ(复阵列矩阵及可编程逻辑用户系统)是专为开发74等系列器件的软件中最成熟,功能最全面,适用范围非常广泛的软件之一。

本次设计主要采用74系列器件,在MAX+PLUSⅡ中完成电路的设计和完善,并在此软件中进行仿真,设计者可以直观地测试其逻辑功能及性能指标。

关键词:

自动化;可编程逻辑器件;复阵列矩阵及可编程逻辑用户系统

Abstract

Electronicdesignautomation(EDA)realizationandthefastdevelopmentofCPLD/FPGAtechniquearevitallyrelated.CPLD/FPGAarisesfromthemiddleandlaterstageof80age,whosefeatureishavinguserprogrammablecharacter.UsingCPLD/FPGA,electronicsystemdesignengineerscandesigndedicatedICinthelaboratorysothatrealizesystematicintegration,andithasstaticrepeatedlyprogrammableandonlinedynamicrebuildedcharacter,andmakesthefunctionofhardwarecanamendbyprogramminglikesoftware,whichimprovestheflexibilityanduniversalabilityofelectronicsystemvastly.

Inrecentyears,electronictechniquehasdevelopedfast,indigitalcircuitPLD(ProgrammableLogicDevice)applicationisthemostflexibledevicenow,butMAX+PLUSⅡ(pluralarraymatrixandprogrammablelogicusersystem)isoneofthematurest,themostoverallfunctionalsoftwarewhosesuitablerangeisverywidespread.Thisdesignmainlyuses74seriesofdevicetocompletethedesignandimprovementofcircuitinMAX+PLUSⅡ,andsimulatesinthissoftware,thedesignercantestitslogicfunctionandperformanceindexocularly.

Keywords:

automation;ProgrammableLogicDevice;pluralarraymatrixandprogrammablelogicusersystem

1绪论

当今世界,科学技术飞速发展,电子产品日新月异,新的器件不断涌现。

仅就逻辑器件这一小小的“家族”而言,短短儿十年的时间就己经发生了翻天覆地的变化,从每片只有儿十个组件的小规模逻辑集成电路发展到在一块不到几个mm2的芯片上就拥有十几万、几十万甚至更多组件的大规模和超大规模集成电路,而且器件的性能始终在飞速提高,而价格却在急剧下降。

与此同时,大批新结构、新工艺、新功能的新型产品也在不断投放市场。

可编程逻辑器件(PLD)就是逻辑器件这一“家族”中的一个别具特色的新成员。

1.1PLD概论

在微处理器及内存等方面,已有了超大规模集成电路(VLSI),在逻辑器件方面出现了专用集成电路ASIC芯片,作为ASIC一个分支的可编程逻辑器(PLD)是近几年发展起来的一种实用硬件技术。

它属于集成电路的一种,具有集成度高、成本低、设计灵活和保密性好等特点,现已广泛应用于计算机、通信、信号处理、工业控制等方面。

在我国随着改革开放的步伐加快和经济建设的迅速发展,对PLD器件的需求已越来越大。

PLD几乎能满足数字系统和自动控制系统等各方面的要求,小至编码器,译码器,计数器以及寄存器等各种组合电路和时序电路;大至微处理器系统、存储控制、图形图像处理系统、总线接口、通信、外围设备、工业控制以及军用系统等,PLD都显示出良好的适应性。

我国ASIC的设计和生产能力都比较薄弱,而绝大多数电子产品的密度和速度不是太高,批量也比较小。

因此,广泛使用PLD器件是非常适合提高我国电子产品性能和竞争能力的主要技术措施之一,应当大力普及推广。

1.2PLD的发展史

历史上,可编程逻辑器件经历了从PROM、PLA、PAL、GAL、EPLD、CPLD和FPGA等的发展过程,在结构、工艺、集成度、功能、速度和灵活性方面都有很大的改进和提高。

可编程逻辑器件大致的演变过程如下:

1.七十年代,熔丝编程的PROM和PAL器件是最早的可编程逻辑器件。

2.七十年代末,AMD公司开始推出PAL器件。

3.八十年代初,Lattice公司发明可电擦写的、比PAL使用更灵活的GAL器件。

4.八十年代中期,Xilinx公司提出现场可编程概念,同时生产了世界上第一片FPGA器件。

同一时期,Altera公司推出EPLD器件,较GAL器件有更多的集成度,可以用紫外线或电擦除。

5.八十年代末,Lattice公司又提出在系统可编程技术,并且推出了一系列具备在系统可编程能力的CPLD器件。

6.进入九十年代后,可编程逻辑集成电路技术进入飞速发展时期。

1.3PLD的分类

可编程逻辑器件种类较多,工艺上采用CMOS,TTL,ECL技术,并不断向更高速、更高密度、更强功能、更灵活的方向发展。

可编程逻辑器件PLD主要有以下几种:

可编程只读存储器PROM(ProgrammableReadOnlyMemory);

可编程逻辑数组PLA(ProgrammableLogicArray);

可编程数组逻辑PAL(ProgrammableArrayLogic);

通用数组逻辑GAL(GenericArrayLogic);

现场可编程门阵列FPGA(FieldProgrammableGateArray);

其它可编程逻辑器件,如可编程逻辑时序机PLS、可编程多路转接器PMUX、可编程二极管矩阵PDM等。

它们之间的关系可见图1.1:

图1.1几种PLD的相互关系

集成度是集成电路的一项重要指标,如果从集成度上分类,可分为低密度可编程逻辑器件(LDPLD)和高密度可编程逻辑器件(LDPLD)。

历史上,GAL22VIO是简单PLD和复杂PLD的分水岭,一般也按照GAL22VIO芯片的容量区分为LDPLD和HDPLD.CAL22VIO的集成度根据制造商的不同,大致在500门/750门之Ifb1。

如果按照这个标准,PROM,PLA,PAL,GAL器件属于低密度可编程逻辑器件,而EPLD,CPLD和FPGA属于高密度可编程逻辑器件,

1.4各类PLD的结构及特点

1.4.1PAL器件

PAL是一种现场可编程的阵列逻辑器件,其内部由“与”阵列和“或”阵列两部分组成,“与”阵列的输出是“或”阵列的输入信号,“与”阵列可编程而“或”阵列固定。

PAL器件在逻辑设计中具有以下优点:

可编程取代传统的逻辑器件,减少器件数目至少四倍,从而节省空间;加快和简化了原始样机设计和电路布线过程,采用TTL,ECL,CMOS三种不同工艺制造,满足不同要求,利于提高系统速度,具有可编程三态门;可由PROM编程器编程,特殊措施防止非法复制,即加密PAL器件,将保密熔丝烧断后,不能读出内部阵列码点。

可是PAL器件的输出方式是由器件类别决定的,不可以编程组态,并且只有CMOS工艺的PAL器件才可采用紫外线或电擦除。

1.4.2GAL器件

GAL器件是在PAL基础上发展起来的,其结构仍是可编程的“与”阵列驱动固定的“或”阵列,只是所有GAL器件的输出端都有输出逻辑宏单元OLMC(OutputLogicMacroCell)的结构,正是这个结构决定了GAL器件可重组态的功能。

GAL器件继承了PAL可编程的特点,同时还具有自己独特的优点:

GAL器件采用高速电可擦除CMOS工艺制造,可最大程度地提供可测试功能以及生产工艺质量,它的随时可擦除性最适合样机的研制和错误的修改;GAL器件的CMOS低功耗使系统的温度低、高性能持久,并使得电路设计可以有较高的功能集成度,从而芯片数、印制板尺寸大大减小,GAL器件速度不低于除ECL电路之外的任何工艺生产的器件速度,GAL器件的输出逻辑宏单元OLMC利于用户按需要对输出组态以实现所要求的功能和结构,GAL器件具有保密性。

其缺点是:

集成度不高(含200-300个门),1/0管脚数少。

1.4.3FPGA

FPGA是门阵列技术和可编程逻辑器件技术发展的结果,目前有Xilinx,Altera,Actel、Sign.tics四大公司的产品,他们分别采用不同的体系结构、工艺技术和编程方法,其中Xilinx公司的可编程逻辑单元阵列LCA(LogicCellArray)是目前FPGA市场上的主流产品。

FPGA的结构类似于通常的门阵列结构,其中心部分是由可编程的逻辑单元块CLB(ConfigurableLogicBlocks)组成的矩阵区,芯片四周为可编程输入输出块IOB(Input/Out-putBlocks),在CLB行列之间以及CLB和LOB之间为可编程的互连资源ICR(InterconnectResource)。

1.5PLD设计步骤

PLD就其设计方法而言,借助于高级设计语言,比中小规模的逻辑电路设计要简单灵活得多。

设计的产品结构紧凑、体积小、可靠性高,所以,PLD是研制新产品和改造旧设备的最佳选择器件。

1.5.1设计构思

将所要设计的逻辑问题变换成设计文木。

逻辑描述可采用真值表。

逻辑方程以及状态图等形式。

只要用其中的一种方式表示就可以了,不必全部列出,用哪种方式简单就用哪种。

所得到的逻辑函数不必化简,留给计算机去完成。

这一步的关键就是要求正确地表示出逻辑函数的输出与输入的逻辑关系。

1.5.2选择器件型号

根据设计文本的要求选择合适的器件型号,主要注意器件输出端和输入端的个数以及输出端的性质(组合输出还是寄存器输出以及输出极性等)是否满足要求。

1.5.3列写源文件

将设计文本按照所应用的设计语言的语句和格式写成源文件,上机进行化简,仿真与测试。

在这里可以反复修改源文件,直到满意为止。

必要时还可以更改器件型号。

1.5.4对器件编程

将计算机生成的编程檔下载到编程器对器件编程。

在这时所选择的器件,是你最乏设计器件,除了与源文件所要求的型号相同外,还要考虑到其它技术条件是否满足要求。

比如转换速度,功率损耗,温度以及电气特性等。

可以查阅器件手册,选择所要求的不同档次的器件。

以上设计步骤,并不是不可改变的。

当设计水平提高后,可以融会贯通。

在设计构思时可以直接用设计语言的语句和格式,写成源文件。

将减少好多重复性工作。

[1]

1.6MAX-plusⅡ软件相关介绍

传统的数字电路实验设计一般采用从局部到整体的方式,由一些功能单一的器件加上一定的外围电路构成模块,再由这些模块进一步形成各种功能电路。

基本器件是各种标准芯片,如74系列TTL)1000/2000系列((COMS)芯片,实验时只能根据需要从中选择最合适的,再按照事先设计好的电路搭成,不但实验成本高,灵活性也很小,复杂的电路设计就更困难了。

近年来,电子技术飞速发展,新的电子器件也层出不穷,数字电路中PLD可编程序逻辑部件)是目前应用最灵活的器件,而MAX+PLUSⅡ复阵列矩阵及可编程逻辑用户系统)是专为开发这一系列器件的软件中最成熟,功能最全面,适用范围非常广泛的软件之一,是广大数字系统设计工程师得力的开发工具。

MAX+PLUSⅡ是美国Alte。

公司研制的一种软件开发系统,它为用户开发、使用该公司生产的CPLD器件提供一个基于计算机的软件开发与操作平台。

MAX+PLUSⅡ由逻辑设计输入、设计处理、设计校验、下载编程四大部分组成。

其主要功能与特点为:

(1)设计输入、处理、编译、校验、仿真、下载全部集成在统一的开发环境中,易学易用。

(2)设计环境与芯片或结构无关,简化了开发、设计过程。

(3)有丰富的模块化设计工具和器件库。

(4)支持硬件描述语言(AHDL,VHDL等)。

(5)提供Megaco系统级功能。

(6)具有内核开放功能,允许用户添加宏函数。

用软件MAX+PLUSⅡ设计电路的一般过程如图1.2:

图1.2MAX+PLUSⅡ设计电路的流程图

次化设计是指对于一个系统设计任务,将任务层层分解,在各个层次上分别设计的方法。

在MAX+PLUSⅡ中,可利用层次化方法来实现数字系统自顶向下的设计。

一般在电路的具体实现时,先组建低层设计,再进行顶层设计。

使用MAX+PLUSⅡ进行设计一般包括设计输入、项目编译处理、分配I,B管脚、项目波形仿真、定时分析和程序下载六个阶段。

[2]

1.7本文的目的

本论文主要讨论的是可编程逻辑器件的设计,在总结了前人的工作的基础之上,本文工作主要有如下几个方面:

第一,在查阅了大量有关的中英文文献并深刻理解有关内容的基础之上,对PLD设计有了一个全面深刻的认识,能够独立完成简单的数字系统设计,对于EDA技术有了相关的了解,以此为后继的工作打下了牢固的基础。

第二,完成课题《简易计算器的PLD实现》,完成逻辑电路的设计,详细介绍各部分单元电路的作用,介绍整体电路的设计。

第三,使用MAX-plusⅡ软件实现电路的仿真,实现相关的波形,从而可以验证设计的正确性与否。

 

2分析与设计

2.1课题内容

简易计算器的PLD实现

设计要求:

1.两个无符号的8位二进制的相加。

2.两个无符号的8位二进制的相减(被减的〉=减的)。

3.两个无符号的4位二进制的相乘。

2.2总体设计

2.2.1总体设计思路

对于计算器的实现可以考虑分成如下三个主要部分:

1.输入存储部分

该部分用于存储数据以便于下一步的运行,考虑使用寄存器。

2.计算部分

设计的核心部分。

两个数字之间的运算无论是加、减、乘,目前在数字计算机中都是化做若干步加法运算进行的。

因此,该部分主要依靠使用加法器实现各个部分的运算。

至于具体如何实现,下一节会做具体介绍。

3.输出显示部分

输出显示部分用发光二级管来实现指示二进制的高低电平

2.2.2总体设计框图

设计总框图如图2.1所示

图2.1简易计算器设计总框图

2.3单元电路设计

2.3.1输入部分

输入部分的关键即是寄存器的选择。

8位无符号的加、减法和4位无符号的乘、除法的实现需要寄存器。

寄存器是一种用于暂存数据和运算结果的一种时序电路,它是计算机系统或其它数字系统中除计数器之外使用最多的时序逻辑电路,其分为并入/并出寄存器、串入/串出、串入并出、并入串出等多种寄存器,也有一些寄存器包含了上面的几种寄存器的功能。

寄存器是由若干个正沿D触发器构成的一次能存储多位二进制代码的时序逻辑电路。

它具有接收数据、存放数据或传送数据的功能。

这里采用8位移位寄存器74LS198和4位移位寄存器74LS194。

因为此部分只需要寄存功能,故其产生移位作用的引脚不接或者直接接地即可。

这样74LS198和74LS194就只有寄存的功能了。

因为74LS198和74LS194具有保持功能,当输入数据时,同时会给其脉冲,这样数据就进入了芯片。

当输入结束时,脉冲也同时消失,这样数据就保持在了芯片中,形成了寄存作用。

图2.274194引脚图图2.374198引脚图

74LS194:

74LS194引脚图如图2.2,它是具有左移、右移、清零、数据并入、并出、串入、串出等多种功能的4位双向移位寄存器,A、B、C、D为并行输入端,QA、QB、QC、QD为并行输出端,SLSI为左移串行输入端,SRSI为右移串行输入端,

为操作模式控制端,CLRN为直接无条件清零端,CLK为时钟脉冲输入端,

和CLRN端的控制作用如表2-1。

表2-174LS194的控制端作用表

输     入

输    出

功能说明

0

1

1

1

1

1

1

×

××

11

11

20

20

11

00

××

×0

×1

××

××

0

0

1

A

0

 

B

0

 

 

C

0

 

0

1

D

异步清0

右移

右移

左移

左移

并行输入

保持

74LS198:

74LS198引脚图如图2.3,它是具有左移、右移、清零、数据并入、并出、串入、串出等多种功能的4位双向移位寄存器,A、B、C、D、E、F、G、H为并行输入端,QA、QB、QC、QD、QE、QF、QG、QH为并行输出端,SLSI为左移串行输入端,SRSI为右移串行输入端,

为操作模式控制端,CLRN为直接无条件清零端,CLK为时钟脉冲输入端,

和CLRN端的控制作用如上表2-1。

以下是本次设计的输入储存部分电路图(图2.4)以及仿真图(图2.5、图2.6):

图2.4存储部分电路图

仿真:

在A端和B端分别输入00010010和00110101或者在C端和D端分别输入0011和1010。

图2.5存储部分4位寄存器仿真图

通过仿真可以得出:

A、B端输入分别为00010010和00110101,输出分别为00010010和00110101。

图2.6存储部分8位寄存器仿真图

通过仿真可已得出:

C、D端输入分别为0011和1010,输出分别为0011和1010。

2.3.2加/减法器部分

加法器是构成算术运算器的基本单元,是数字计算机的重要逻辑部件。

1.半加器

半加器是一种能对两个1位二进制数(不考虑来自低位的进位)进行相加运算而求得“和”及向相邻高位“进位”的逻辑电路。

根据半加器的逻辑功能,其真值表如表2-2:

表2-2半加器真值表

输入

输出

AB

SCO

00

00

01

10

10

10

11

01

A、B为两个加数,S为相加的和,CO为向相邻高位的进位。

由真值表,可以得到公式2.1:

(2.1)

若采用异或门和

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 中考

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1