用友NC57+oracle10g安装文档.docx

上传人:b****8 文档编号:30675099 上传时间:2023-08-19 格式:DOCX 页数:25 大小:1.70MB
下载 相关 举报
用友NC57+oracle10g安装文档.docx_第1页
第1页 / 共25页
用友NC57+oracle10g安装文档.docx_第2页
第2页 / 共25页
用友NC57+oracle10g安装文档.docx_第3页
第3页 / 共25页
用友NC57+oracle10g安装文档.docx_第4页
第4页 / 共25页
用友NC57+oracle10g安装文档.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

用友NC57+oracle10g安装文档.docx

《用友NC57+oracle10g安装文档.docx》由会员分享,可在线阅读,更多相关《用友NC57+oracle10g安装文档.docx(25页珍藏版)》请在冰豆网上搜索。

用友NC57+oracle10g安装文档.docx

用友NC57+oracle10g安装文档

用友软件

安装概要

NC57的安装共有六步:

1.oracle的安装

2.产品的安装

3.NcSysConfig.bat文件的设置

4.中间件的启动

5.客户端的安装与浏览器的设置

6.系统管理设置

第一章oracle数据库的安装

1.1软件准备

从网上下载一个oracle安装文件,方法很多,例如从官方下载、用迅雷下载、用电驴下载,在此不多说了。

1.2安装数据库

1.2.1选择安装方法

主目录位置设置数据库文件的位置,安装类型选择“企业版(1.3GB)”,勾选创建启动数据库(勾选则在安装完数据库是创建数据库实例),选择全局数据库名(这里我选择了orcl)和数据库口令(sys)注意数据库名和口令都要以字母开头。

完成点击下一步。

如图:

点击下一步后会出现以下界面,如果机器够快的话,下面的界面会很快闪过。

1.2.2产品先决条件检查

这里一般不需要我们的操作,只要注意每项检查都通过就行,不是操作系统很有问题,这一步一般没什么事直接点下一步。

1.1.3安装

直接点击安装,没什么事。

然后出现以下界面

再后面是这个界面

很快又会弹出一个窗口,继续安装。

完成后是这个界面。

1.2.4口令设置

点击口令管理,我们需要设置下口令。

早期版本中oracle提供了默认口令,但出于安全性考虑,10g版本不在提供默认口令,而需设置。

点击后进入口令管理界面如下。

出于方便,对于sys用户,口令设置为sys,system设置为system。

点击确定,完成口令的设置。

点确定完成安装。

安装结束,退出安装程序。

第二章建立表空间

2.1软件选择

建立表空间,可以用oracle自带的SQLPLUS,但是它是单行执行,不推荐使用,这里我采用PL/SQLDEVELOPER工具,他可以很方便的在网上下载。

安装完成后如下界面

密码是之前设置的sys。

点ok进入,选择文件,新建一个SQL窗口。

在SQL窗口中复制以下内容:

——————————————————————————————

CREATETABLESPACENNC_DATA01DATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_data01.dbf'SIZE500MAUTOEXTENDONNEXT50MEXTENTMANAGEMENTLOCALUNIFORMSIZE256K;

CREATETABLESPACENNC_DATA02DATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_data02.dbf'SIZE300MAUTOEXTENDONNEXT50MEXTENTMANAGEMENTLOCALUNIFORMSIZE256K;

CREATETABLESPACENNC_DATA03DATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_data03.dbf'SIZE500MAUTOEXTENDONNEXT100MEXTENTMANAGEMENTLOCALUNIFORMSIZE512K;

CREATETABLESPACENNC_INDEX01DATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_index01.dbf'SIZE500MAUTOEXTENDONNEXT50MEXTENTMANAGEMENTLOCALUNIFORMSIZE128K;

CREATETABLESPACENNC_INDEX02DATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_index02.dbf'SIZE300MAUTOEXTENDONNEXT50MEXTENTMANAGEMENTLOCALUNIFORMSIZE128K;

CREATETABLESPACENNC_INDEX03DATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_index03.dbf'SIZE500MAUTOEXTENDONNEXT100MEXTENTMANAGEMENTLOCALUNIFORMSIZE256K;

CREATETABLESPACENNC_iufoDATAFILE'C:

\oracle\product\10.2.0\oradata\orcl\nnc_iufo.dbf'SIZE200MAUTOEXTENDONNEXT50MEXTENTMANAGEMENTLOCALUNIFORMSIZE128K;

CREATEUSERNCIDENTIFIEDBYNCDEFAULTTABLESPACENNC_DATA01TEMPORARYTABLESPACEtemp;

GRANTconnect,dbatoNC;

CREATEUSERiufoIDENTIFIEDBYiufoDEFAULTTABLESPACENNC_iufoTEMPORARYTABLESPACEtemp;

GRANTconnect,dbatoiufo;

———————————————————————————————————————————

注意下图中框的表空间路径要根据你数据库的位置设置。

完成后点执行,大约要几分钟。

注意此处的用户名为NC,也可以为其他,但是要记住该用户名,后面会用到。

完成关闭PL/SQLDEVELOPER。

第三章安装NC57产品

3.1明确安装顺序

各文件夹要按以下顺序安装,否则可能会出错。

安装方法很简单,选择目录选择产品,一路下一步即可。

nc_uap

客户化

 

nc_portal

企业门户

 

nc_pd

工程基础数据

工程基础数据

nc_fi

财务会计

总账

会计平台

现金管理平台

应收、应付

借款报销

财务公共

web报销

nc_tpb

全面计划预算

计划预算基础

全面预算

费用预算

nc_co_cm

管理会计

成本管理

nc_tm

资金管理

账户管理

资金结算

资金调度

网上银行

存款管理

资金票据

资金计划

综合授信

信贷管理

资金预测

资金监控

nc_scm

供应链管理

存货核算

供应链基础设置

供应商管理

采购计划

采购管理

销售管理

退货管理

库存管理

内部交易

委外加工

运输管理

合同管理

U8零售管理

销售计划

价格管理

信用管理

价保管理

返利管理

供应链报表

nc_scm_admin

供应链管理盘

 

nc_qc

质量管理

 

nc_am

资产管理

固定资产

资产信息管理

资产使用管理

资产租赁管理

资产公共

nc_mm

生产制造

需求管理

主生产计划

物料需求计划

排产管理

生产订单管理

最终装配计划

车间作业管理

检修管理

制造执行系统

装备制造管理

nc_hr

人力资源

人力资源基础盘

人力资源规划

组织机构管理

人员信息管理

人员变动管理

人员合同管理

薪酬管理

福利管理

时间管理

招聘管理

培训管理

绩效管理

政策制度管理

综合报表

数据分析中心

员工自助

nc_hr_pd

人力资源预制

业务数据预制

自定义档案预制

nc_iufo

网络报表含合并报表

集团报表

nc_xbrl

集团报表XBRL

集团报表XBRL

 nc_eg

 企业治理

企业治理 

3.2配置ncsysconfig.Bat文件

在代码中(即产品安装到的文件夹)根目录的bin文件夹中找到ncsysconfig.bat文件,打开。

打开后出现以下界面,选择datasource点击读取按钮,在点击修改,按图中的设置设置参数,数据源名称填orcl,数据库类型选择oracle10g,数据库/odbc填orcl,数据源oid标识填A1,用户名和密码都为NC(在建立表空间是设置的)。

点击确定,再点击测试,如果测试成功,再点下保存就好了。

确定保存,如果没有什么问题的话,测试会出现以下画面。

下面配置下sever选项,如下图

点击读取,然后,在协议定义中如上图输入ip地址和端口号,这是通过浏览器访问系统是所使用的地址。

点击保存完成配置。

第四章中间件启动与停止

运行产品根目录下的startup.bat,等待一段时间,中间件启动。

如需关闭中间件只需运行根目录下的stop.bat文件。

中间件完全启动后会出现如下界面,需要注意的是启动过程中该窗口不可以关闭,关闭了,服务就会停止。

第五章系统管理设置

5.1NC登陆的两种方式

NC可以通过浏览器登陆,也可以通过客户端登陆,在数据量较多的情况下,推荐使用客户端登陆,浏览器登陆在数据太多时,可能会出现缓存区溢出,产生不可知的错误。

5.2浏览器登陆

5.2.1浏览器设置

为使得nc的插件能够正常安装,需要对浏览器进行设置,打开浏览器(浏览器很多种但Internet选项类似),找到“Internet选项”打开。

先设置可信站点。

再设置安全级别。

5.2.2浏览器登陆

打开浏览器,在地址栏输入http:

//127.0.0.1:

80/,回车进入系统。

点击后会进入登陆界面,期间会要求安装插件,要选择安装。

之后操作与客户端登陆一致。

5.3客户端登陆

5.3.1生成客户端安装盘

启动ncsysconfig.bat文件,选择deployment选项,如下图。

点击生成客户安装盘,在出现的界面中修改主机名为“127.0.0.1”,(不改的话默认为主机名,安装文件放在别的机子上很可能就不能用,考虑到通用性,改一改比较好)点击生成,开始安装盘的生成。

这个过程大约要几分钟,注意输出路径,这是生成的客户端安装盘的位置,这个位置可以自己设置。

完成后,在输出路径可以找到三个文件,如下

点击setup.exe完成客户端的安装

5.3.2NC的登陆

如下图找到启动NC客户端,为方便下次使用,可以右键该图标发送到桌面快捷方式。

下次可以从桌面直接启动。

打开客户端后会出现两个界面,一个DOS界面,一个NC窗体,两个窗口都不能关闭保持打开。

在第一次登陆时帐套只有“系统管理”,以为还没有简历其他的帐套,用户默认为root,密码为空登陆后界面如下。

双击帐套管理打开界面点增加,填入帐套编码帐套名称失效日期数据源信息,并添加两个用户,注意设置好用户的密码。

填写完成保存,然后会进入下一个步骤——产品选择。

这里我选择了所有的产品,点下一步,开始安装数据库。

这个过程很漫长,需要好几个小时的时间请耐心等待。

安装完成后,需要重启中间件,重新进入登陆界面,帐套选择“帐套0001”,用户名1,密码111点击登陆,进入系统如下图。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 城乡园林规划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1