组合逻辑电路血型匹配电路 3.docx

上传人:b****5 文档编号:28835245 上传时间:2023-07-20 格式:DOCX 页数:14 大小:307.35KB
下载 相关 举报
组合逻辑电路血型匹配电路 3.docx_第1页
第1页 / 共14页
组合逻辑电路血型匹配电路 3.docx_第2页
第2页 / 共14页
组合逻辑电路血型匹配电路 3.docx_第3页
第3页 / 共14页
组合逻辑电路血型匹配电路 3.docx_第4页
第4页 / 共14页
组合逻辑电路血型匹配电路 3.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

组合逻辑电路血型匹配电路 3.docx

《组合逻辑电路血型匹配电路 3.docx》由会员分享,可在线阅读,更多相关《组合逻辑电路血型匹配电路 3.docx(14页珍藏版)》请在冰豆网上搜索。

组合逻辑电路血型匹配电路 3.docx

组合逻辑电路血型匹配电路3

电子课程设计

血型匹配电路

 

学院:

班级:

姓名:

学号:

指导教师:

每个人都拥有各自不同的血型,但并不是谁都清楚自己能接受哪些血型的人献血,能给哪些人献血。

在献血、受血的时候,如果不清楚而搞错了,就会出很大问题。

例如:

如果B型血输给O型血,可引起凝集反应,也就是说血液凝结在一起,堵塞小血管,发生血液循环障碍,从而破坏肾功能,严重时甚至可致人死亡。

血型匹配指示器能够在操作人按下自己血型的对应按钮后,通过指示灯告诉操作人他的血能为哪些血型的人群服务;同时,操作人也能够知道按下某个按钮时,若自己血型对应的指示灯亮了,意味着自己可以接受这个血型的人群的献血。

有了这个血型匹配指示器后,在受血时,就不会出现那些不必要的错误而造成不必要的严重后果。

我们这次制作的血型匹配指示器,每一个开关都代表一个受血者或献血者的血型,按下相应的开关后,亮绿灯代表是可以的,亮红灯代表不可以。

下面就是我这次制作的详细过程。

组合逻辑电路

——血型匹配电路

一、题目:

人的血型由A、B、AB、O四种。

输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。

判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。

(提示:

用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。

)如图1-1.

图1-1血型匹配图

二、分析:

人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。

由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。

题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。

74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。

这是必须有一个逻辑变量接到74LS151的数据输入端。

我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。

得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。

做出逻辑变量ABCD的卡诺图如表1所示.

 

表1血型匹配真值表

DC

BA00011110

1

0

0

1

0

1

0

1

1

1

1

1

0

0

0

1

00

01

11

10

由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D放到数据输入端我们得到血型匹配卡诺图如表2.

表2血型匹配卡诺图

DABC000001011010110111101100

1

0

1

0

0

1

1

0

1

1

1

1

0

1

0

0

0

1

由此我们可以的做出血型匹配机仿真电路如图1-2:

图1-1血型匹配机电路图

芯片的介绍:

74LS151:

有互补输出端(Y、W),Y输出原码,W输出反码。

管脚图如图2-1

图2-174LS151管脚图

引出端符号:

A、B、C选择输入端

D0-D7数据输入端

STROBE选通输入端(低电平有效)

W反码数据输出端

Y数据输出端

74LS00:

2输入的与非门如图2-2

图2-274LS00管脚图

74LS148:

16脚集成芯片如图2-3

图2-374LS148管脚图

设计步骤:

(1)、确定输入变量和输出变量。

通过对设计要求的分析,明确系统的外部控制条件,将其定为输入量;确定系统的结果,将其定为输出量。

(2)、约定输入与输出的逻辑状态。

用逻辑值“0”、“1”两种状态分别表示输入变量和输出变量的二种不同的逻辑状态。

(3)、根据系统给定的功能叙述列出对应的真值表。

(4)、根据真值表写出对应的逻辑函数表达式。

对于一些复杂的系统设计,可以对逻辑函数表达式进行变换,直接用一些现成的逻辑电路芯片(如数据选择器)进行设计。

(5)、根据逻辑表达式画出逻辑电路图,进而画出完整的电路。

当BA=00,DC=00时:

可以看见指示灯亮,输出高电平,输出正确,如图3-1.

图3-1

当BA=01,DC=00时:

可以看见指示灯灭,输出低电平,输出正确,如图3-2.

图3-2当BA=01,DC=00时

当BA=10,DC=00时:

可以看见指示灯灭,输出低电平,输出正确,如图3-3.

图3-3BA=10,DC=00时

当BA=11,DC=00时:

可以看见指示灯亮,输出高电平,输出正确,如图3-4.

图3-4当BA=11,DC=00时

当BA=00,DC=01时:

可以看见指示灯灭,输出低电平,输出正确。

如图3-5.

图3-5当BA=00,DC=01时

当BA=01,DC=01时:

可以看见指示灯亮,输出高电平,输出正确,如图3-6.

图3-6当BA=01,DC=01时

当BA=10,DC=01时:

可以看见指示灯灭,输出低电平,输出正确,如图3-7.

3-7当BA=10,DC=01时

当BA=00,DC=10时:

可以看见指示灯亮,输出高电平,输出正确,如图3-8.

图3-8当BA=00,DC=10时

当BA=01,DC=10时:

可以看见指示灯亮,输出高电平,输出正确,如图3-9.

如图3-9当BA=01,DC=10时

当BA=10,DC=10时:

可以看见指示灯亮,输出高电平,输出正确

 

当BA=11,DC=10时:

可以看见指示灯亮,输出高电平,输出正确

当BA=00,DC=11时:

可以看见指示灯灭,输出低电平,输出正确

当BA=01,DC=11时:

可以看见指示灯灭,输出低电平,输出正确

当BA=10,DC=11时:

可以看见指示灯灭,输出低电平,输出正确

 

当BA=11,DC=11时:

可以看见指示灯亮,输出高电平,输出正确

仿真实验

 

 

课程设计总结

经过这两周的课程设计,使我的动手能力得到了提高,也使的在课本上的知识得到了实践,实践是检验真理的唯一标准,只有通过实践才能使学到的知识记的更加深刻,更加巩固,其实在本次课程设计中也遇到了很多问题,每次当我遇到问题的时候,都到网上或者书籍中区查找,通过这种种方法,也使得我对一些芯片的用途,管脚图有了大致的了解。

在做模拟电路实验的时候,电路图连好后却几次都显示不出预想的结果,反复检查几次之后也找不出问题所在,在老师和同学的帮助下,才发现原来是自己的一个管脚接错了,通过这次的错误,让我体会到耐心与细心的重要性了,做事一定要有耐心,更要细心,不要心急,有些时候越是着急就越容易出现错误,越是耽误时间,所以,一定要细心耐心的对待每一件事情,好像这次的实验一样。

在刚开的时候,对一些事情重视觉得差不多就可以了,倒是在这次课程设计中老师多我们要求很严格,不允许出现差不多的情况,一定要做到,确定才行,也正式老师的这种严格要求使得我们的课程设计才显得跟有意义,谢谢李晓松老师这两周对我们的帮助。

 

参考文献:

数字电子技术试验基础夏路易

电程设计子技术与课程设计毕满清

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1