HKIV型EDA实验箱说明书.docx

上传人:b****5 文档编号:28454227 上传时间:2023-07-13 格式:DOCX 页数:66 大小:3.46MB
下载 相关 举报
HKIV型EDA实验箱说明书.docx_第1页
第1页 / 共66页
HKIV型EDA实验箱说明书.docx_第2页
第2页 / 共66页
HKIV型EDA实验箱说明书.docx_第3页
第3页 / 共66页
HKIV型EDA实验箱说明书.docx_第4页
第4页 / 共66页
HKIV型EDA实验箱说明书.docx_第5页
第5页 / 共66页
点击查看更多>>
下载资源
资源描述

HKIV型EDA实验箱说明书.docx

《HKIV型EDA实验箱说明书.docx》由会员分享,可在线阅读,更多相关《HKIV型EDA实验箱说明书.docx(66页珍藏版)》请在冰豆网上搜索。

HKIV型EDA实验箱说明书.docx

HKIV型EDA实验箱说明书

第一章HK-IV型EDA实验系统特点介绍

该系统由实验机结合可编程技术开发而成。

适用于Altera、Lattice、Xilinx等多种芯片教学实验。

可使用VHDL、Verilog、AHDL、原理图、状态图等多种方式设计。

主系统仅用一根下载电缆,无需增加任何适配板即可对Lattice、Xilinx、Aitera、Vantis、Atmel和Cypress等公司的不同芯核电压的FPGA/CPLD器件进行在系统编程。

为了适应将来市场发展要求,可以进行软件升级以适应更多型号的FPGA/CPLD。

系统可配置多个公司不同逻辑资源、封装的适配板,且系统主板功能及通用下载电路ASIC的硬件具备可升级性。

(1)系统含标准5V、3V、2.5V、1.8V混合工作电压功率输出电路模块,以便可对适配板上不同芯核电压的FPGA/CPLD器件进行实验和开发。

(2)系统含标准低压(3.3V、2.5V、1.8V),下载口可用于对外部不同芯核电压的FPGA和CPLD器件进行编程下载。

(3)含典型EDA实验必配的标准VGA彩显接口,可用于显卡或工控设备开发(可提供VHDL应用演示实例)。

(4)含典型EDA实验必配的PS/2鼠标、键盘接口(可提供VHDL应用演示实例)。

(5)含典型EDA实验必配的RS232串行接口,同可于硬件串行通信电路开发、编码模块开发等(提供VHDL演示实例)。

(6)含典型EDA实验必配的单片机总线接口及与CPLD/FPGA至PC机双向通信接口(可提供VHDL演示实例)。

此实验为学生提供MCS-51汇编语言、VHDL语言、C语言综合应用设计方面的全面锻炼,为学生在电子设计方面的充分发挥提供了可行的平台。

(7)含LED、数码管、扬声器(通过频率控制可奏乐,提供VHDL演示实例)等。

(8)含8个按键、16个开关,供硬件加法器、乘法器、序列检测器、编码器、音乐演奏、脉宽调制、A/D高速采样等设计实验用(提供VHDL演示实例)。

(9)含1Hz~5OMHz标准时钟信号源,是完成PS/2、VGA、RS232通信、音乐演奏、脉宽调制、A/D高速采样等典型EDA项目必备之高频时钟,也是发挥FPGA/CPLD高速特性的可靠保证。

(10)含A/D器件ADCO809及其接口,供FPGA控制的模数转换实验用(提供VHDL演示实例)。

(11)含D/A器件DAC0832及其接口,用于数模转换实验(提供VHDL演示实例)。

(12)含器件AD574的接口。

(13)可进行任何译码显示方式(直通非译码、BCD译码、16进制译码、扫描)的智能译码电路模块。

(14)含串行E2PROM93CXX系列器件接口电路,适用开发基于FPGA/CPLD的工业智能仪表。

(15)含串行E2PROM24CXX系列器件接口电路,适用开发基于FPGA/CPLD的I²C总线电路结构的工业智能仪表。

(16)含TI公司串行D/A器件TLC5620接口,单5V电压供电,适用基于FPGA数字信号处理电路设计。

(17)含TI公司串行A/D器件TLC549接口,单5V电压供电,适用基于FPGA/CPLD的汽车电子设备、数字伺服系统设计等。

(18)含TI公司串行高速A/D器件ADCO8031接口,单5V电压供电,适用基于FPGA的过程控制和图像传感器信号处理"

(19)含Tl公司串行超高速A/D器件TLV1572接口,单5V电压供电,适用于数字信号处理和数字图像处理。

(20)含专用工作电源和内置电源以及RS232通信电缆、通用下载电缆、在系统开发用的下载电缆线。

(21)含适用于多媒体教学的所有配套相关内容的CAI软件。

(22)系统可配置模拟电子线路与信号系统的EDA实验板。

该实验板对模拟器件和数字器件都有良好的在系统编程功能,可完成诸如四路加/减法器、信号放大/衰减器、Butterworth、Chebyshev、Elliptical、Gaussian、Bessel、Legendre及双二阶滤波器、电桥平衡测试仪、1.5/2.5/3V参考电压输出、压控振荡器、电压监控器、温度监控器、模/数综合系统设计等设计实验。

该实验板可对ispPAClO、ispPAC20、ispPAC80进行各类纯模拟电子线路方面的EDA实验与开发。

第二章MAX+PLUSⅡ的使用

2.1MAX+PLUSⅡ概述

MAX+PLUSⅡ是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供应商之一。

MAX+PLUSII的界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在MAX+PLUSⅡ上可完成FPGA/CPLD设计的整个流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

图2.1上方是MAX+PLUSⅡ编译设计主控界面,它显示了MAX+PLUSⅡ自动设计的各主要处理环节和设计流程。

包括设计输入编辑、编译网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取、编程文件汇编(装配)以及编程下载9个步骤。

图中下方的流程框图是与上面MAX+PLUSⅡ设计流程相对照的标准的EDA开发流程。

MAX+PLUSⅡ编译器支持的硬件描述语言有VHDL(支持'87及'97标准)、VerilogHDL及AHDL(AlteraHDL)。

前两种为IEEE标准支持的硬件描述语言,最后一种AHDL是Aatera公司自己设计、制定的硬件描述语言,是一种以结构描述方式为主的硬件描述语言。

MAX+PLUSⅡ允许来自第三方的EDIF文件输入,这可以与其他EDA工具进行接口。

MAX+PLUSⅡ支持层次化设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的工程模块(元件)进行调用,从而解决了原理图与HDL混合输入设计的问题。

在设计输入之后,MAX+PLUSⅡ的编译器将给出设计输入的错误报告。

MAX+PLUSⅡ拥有性能良好的设计错误定位器,用于确定文本或图形设计中的错误。

在进入编译网表功能块后,MAX+PLUSⅡ将从适配文件中提取SNF时序仿真文件SimulationNetlist(仿真网表文件)。

SNF文件详细记录了当前适配的延时和逻辑功能信息,可用于对设计进行时序仿真。

在仿真前,需要利用波形编辑器编辑一个波形激励文件。

编译和仿真经检测无误后,便可以将下载信息通过MAX+PLUSⅡ提供的编程器下载到目标器件中。

2.2原理图的输入

原理图输入的操作步骤如下:

进入Windows后,启动MAX+PLUSⅡ软件,进入主界面。

(1)

首先建立(或指定)项目文件(工程文件),如图2.2所示,鼠标左键单击FILE选项,选择‘Project’→‘Name’选项。

(2)

在ProjectName的输入编辑框中键入dff后在图2.2中单击File菜单后,单击New选项,屏幕如图2.3所示

(3)在图2.4中选择GraphicEditorfile,单击OK按钮,便进入到MAX+PLUSII的图形编辑器。

如图2.5所示:

(4)在图2.5空白处双击,屏幕如图2.6所示

(5)在图2.6的SymbolName输入编辑框中键入dff后,单击ok按钮。

此时可看到光标上粘着被选的符号,将其移到合适的位置(参考2.7)单击鼠标左键,使其固定:

(6)重复(4)、(5)步骤,给图中含义个input、not、output符号,如图2.7所示:

(7)在图2.7中,将光标移到右侧input右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

(8)重复(7)的方法将DFF和output连起来,完成所有连线电路如图1.8所示;

(9)在图2.7中,双击input_name使其衬底变黑后,再键入clk,及命名该输入信号为clk,用相同方法将输出信号定义成Q;

(10)在图2.8中单击保存按钮,屏幕如图2.9所示:

(11)在图2.9中,检查FileName的文本编辑框为dff.gdf(因为项目名为dff,故在缺省情况下,均是项目名下加不同的扩展名);

(12)在图2.9中单击OK按钮,屏幕如图2.8所示;

(13)在图2.8中,单击编译器快捷方式按钮,屏幕如图2.10所示;

(14)在图2.10中,单击Processing菜单,检查TimingSNFExtractor选项,使其被选中(即该行前有对号),处理完后,再次回到图2.10的环境下;

(15)在图2.10中,单击Assign-Device菜单,屏幕如图2.11所示;

(16)完成如图2.11所示的选择后,单击ok按钮,再次回到图2.10的环境下;

(17)在图2.10中,单击Start按钮后,计算机开始处理数据,其进度情况有一水平红线表示,结束后屏幕如图2.12

(18)在图2.12中,如果有“0errors”和“0warnings”字符出现,则表示编译完全通过,单击OK按钮后,屏幕显示如图2.10所示

 

多伦多随风倒撒;分但是;弗兰克适当分;是打发的

固定法固定法嘎东方宫东方宫地方攻打法

(21)在图2.13中点击“Configure”即可进行下载,如需要进行引脚分配,可以参照附录提供的引脚分配图。

(附录一)

2.3文本编辑(VHDL)

文本编辑(VHDL)的操作如下:

(1)

建立我们的abc项目如图2.14:

(2)图2.15中单击file菜单后,单击NEW选项,选择TextEditorFile选项如图:

(3)单击OK进入空白的文本编辑区进行文本编辑,本节向同学们列举了一个D触发器的例子,其完成后的屏幕如图2.16(4)完成编辑后的步骤同完成原理图编辑的步骤,请参考2.2节有关内容。

2.3波形编辑

波形编辑的操作步骤如下:

进入windows后,双击MAX+PLUSII图标:

(1)建立我们的cnt10项目,单击图2.17的File菜单,将鼠标移到Project选项后,单击Name选项,屏幕如图2.21所示。

在ProjectName的输入编辑框中键入cnt10后,单击OK按钮:

(2)单击File菜单后,单击New选项,屏幕如图2.19所示:

(3)在图2.19中选择WaveformEditorfile,并单击其右边的小黑箭头,在下拉选项中“.wdf”,单击OK按钮后,便进入MAX+PLUSII的波形编辑器,如图2.20所示

(4)在图2.20中,双击Name域的空白处,出现如图2.21所示屏幕:

 

(5)在NodeName的文本编辑框中输入喜好名CLK,NoeType单选框中选中PinInput,单击OK按钮后,如图2.22所示:

(6)在图2.22中,双击Name域的空白处,出现图2.23所示屏幕:

(7)在NodeName的文本编辑框中输入信号名Q0,NodeType单选框中选中Registered,在SecondaryInput栏单击clock右边的小黑箭头,在下拉选项中选择CLK,单击OK按钮后,完成Q0的输入;

(8)重复(6),(7)两步操作,分别输入信号名Q1,Q2和Q3,完成后,屏幕如图2.24所示:

(9)在图2.24中,单击信号CLK端子,使其整行变黑,并单击垂直工具条上的时钟按钮,

屏幕如图2.25所示:

(10)在图2.25中,将光标移到信号Q0行上,按下鼠标左键向右拖到第二个周期结束处释放鼠标左键,此时可以看到信号Q0行上有小黑长方形如图2.26所示;

(11)在图2.26中,单击垂直工具条上的高电平按钮;

(12)用相同方法顺次向右再做4个宽度为1个CLK周期的高电平信号,完成后屏幕如图2.27,所示;

(13)用相同的方法分别做出Q1,Q2和Q3的波形,完成后屏幕如图2.28所示:

(14)在图2.28中,将光标移到Q0波形的起始处按下鼠标左键向下方拖动。

屏幕如图2.29所示相同后,释放左键;

(15)单击主菜单条的Edit,在下拉菜单中单击Copy;

(16)单击主菜单条的Edit,在下拉菜单中单击Repeat,在弹出的对话框中输入重复次数1000后,单击OK按钮,完成后屏幕如图2.30所示;

 

 

(17)在图2.30中,单击存文件按钮,屏幕如图2.31所示:

(18)在图2.31中,检查FileName的文本编辑框时候为cnt10.wdf;

(19)在图2.31中,单击OK按钮,屏幕如图2.30所示:

(20)在图2.30中,单击

按钮,屏幕如图2.32所示:

(21)在图2.32中,单击Processing菜单,查看TimingSNFEctractor选项,使其不被选中。

处理完后,再次回到图2.32的环境中;

(22)在图2.32中,单击Assign菜单,屏幕如图2.33所示:

(23)完成如图2.33所示的选择后,单击OK按钮,再次回到图2.32的环境下;

(24)在图2.32中,单击Start按钮后,计算机开始处理数据,其进度情况用一水平线表示,结束后屏幕如图2.34所示;

(25)在图2.34中,如果有“Oerrors”和“Owarnings”字符出现,则表示编译完全通过,单击确定OK按钮后,屏幕如图1.32所示;

(26)退出编译窗口,即在图2.32中单击“X”,屏幕如图2.30所示;

(27)在图2.30中单击下载快捷键

按钮,屏幕如图2.35所示。

若与图2.35所示不同,单击JTAG菜单,使所有选项前均无对号后,单击Option菜单,进入HardwareSetup…选项中作适当设置(一般默认情况下,选择“ByteBlaster(MV)”);单击OK按钮后,关闭编程窗口,即单击“X”,屏幕如图2.30所示“

 

(28)在图2.30中单击

按钮,屏幕如图2.36所示:

(29)在图2.36中,单击fit图标,并适当调整垂直滚动条,屏幕如图2.37所示:

(30)在图2.37中可以看到信号“CLK“被自动分配为83脚,信号“Q0”,“Q1”,“Q2”,“Q3”分别被自动分配为76,75,73,74脚;

(31)给芯片的83脚接入1KHZ方波信号,用示波器观察83,76,75,73,74脚的波形。

 

第三章操作实验

实验操作注意事项

一.使用其他厂家的CPLD时在配置适配板时需软件升级。

二.实验板长期不用时,应将插头拔出。

三.实验板上CLKl到CLK5频率源上不能同时插上两个短路帽,50MHz频率源不使用时应该将短路帽上插。

3.1实验一彩灯实验

一.实验目的

1通过实验初步了解EDA的作用

2初步了解VHDL语言在硬件设汁中的使用过程

二.实验跳线

1实验板正中上方,彩灯JPLEDl短路帽右插,JPLED短路帽全部上插

2实验板右下端频率源CLK中CLK5短路帽接1Hz

三.实验项目添加

(说明:

本软件已经完成以下1-一11步骤的工作)现将步骤作如下说明

1打开MAX+plusII软件,如下顺序点击:

菜单中“File→project→name”出现如下对话框(图3.1)

 

图3.1

打开light文件夹,在对话框左端选择项目light,点击OK即可;

2接着在菜单栏中选择“MAX+plusII→File→Open→light.vhd”出现如下对话框(图3.2)

图3.2

3打开该文件即可看到源文件

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitylightis

port(clk1:

instd_logic;--时钟信号

light:

bufferstd_logic_vector(7downto0));――输出

endlight;

architecturebehvoflightis

constantlen:

integer:

=7;

signalbanner:

std_logic:

='0';――定义信号banner为两种节拍转换信号

signalclk,clk2:

std_logic;――信号CLK1,CLK2作为辅助时钟

begin

clk<=(clk1andbanner)or(clk2andnotbanner);

process(clk1)

begin

ifclk1'eventandclk1='1'then――CLK1二分频得CLK2

clk2<=notclk2;

endif;

endprocess;

--process(clk1,clk2,banner)

process(clk)

variableflag:

bit_vector(2downto0):

="000";

begin

--clk<=(clk1andbanner)or(clk2andnotbanner);

ifclk'eventandclk='1'then

ifflag="000"then

light<='1'&light(lendownto1);――顺序循环移位

iflight

(1)='1'then――依次点亮

flag:

="001";

endif;

elsifflag="001"then

light<=light(len-1downto0)&'0';

iflight(6)='0'then

flag:

="010";

endif;

elsifflag="010"then

light(lendownto4)<=light(len-1downto4)&'1';――从中间向两边点

light(len-4downto0)<='1'&light(len-4downto1);

iflight

(1)='1'then

flag:

="011";

endif;

elsifflag="011"then

light(lendownto4)<='0'&light(lendownto5);――奇、偶位循环点亮

light(len-4downto0)<=light(len-5downto0)&'0';

iflight

(2)='0'then

flag:

="100";

endif;

elsifflag="100"then

light(lendownto4)<='1'&light(lendownto5);

light(len-4downto0)<='1'&light(len-4downto1);

iflight

(1)='1'then

flag:

="101";

endif;

elsifflag="101"then――全部熄灭

light<="00000000";

flag:

="110";――重新开始

elsifflag="110"then

banner<=notbanner;――banner信号转换,实现第二种节拍

flag:

="000";

endif;

endif;

endprocess;

endbehv;

4选择器件:

点击“Assign-Device”然后选择10K1084-4;如图3.3所示:

图3.3

5点击编译按钮,如图所示:

(图3.4)

图3.4

按start开始编译,编译成功如图3.5

图3.5

6编译成功后,进行引脚分配:

(图3.6)

 

图3.6

引脚按照实验平台所附图纸进行分配

如该实验:

CLK1接第一脚,(注意CLK只能接第一脚)

灯按下图顺序来接:

LIGHT0-P24,LIGHT1-P23,LIGHT2-P22,LIGHT3-P21,LIGHT4-P19,LIGHT5-P18,LIGHT6-P17,LIGHT7-P16

说明:

可以根据需要将LIGHT0-LIGHT7重新分配,但要按管脚与芯片引脚对应表

图3.7

7然后再编译一次;

8菜单栏中点“MAX+plusII→programmer”出现programmer对话框(图3.2);

9再出现programmer对话框后,选择菜单栏中“JTAG→Multi→Device→JTAGChainsetup”如果有文件存在,在右端点DELET删除(图3.8);

图3.8

10随后在JTAG对话框“SELECTPROGRAMMERFILE”(图3.9)

图3.9

双击light.sof点OK。

把文件添加到“Multi→Device→JTAGChainsetup”对话框中,点ADD。

即完成添加项目。

11回到“PROGRAMMER”对话框(图3.10)

图3.10

点CONFIGIRE即开始下载。

说明:

本软件已经完成以上工作,学生只须按如下两个步骤完成下载:

1打开MAX+plusII软件,点击“File→project→name”出现如下对话框(图3.11)

图3.11

点对话框左端得light点击OK;

2点“MAX+plusII→programmer”后再点“JTAG→Multi→Device→JTAGChainsetup”出现如下对话框(图3.12)

图3.12

将light.sof项目添加到“Multi→Device→JTAGChainsetup”对话框中,点ADD。

回到“PROGRAMMER”对话框,点CONFIGIRE即开始下载。

四.实验现象

彩灯右端八个依次循环点亮。

五.实验说明

1选择频率源CLK-jp153(1HZ到46HZ)能使彩灯点亮速度加快,注意其余的频率不能选。

2同一个频率源中注意不能插两个短路帽。

 

3.2实验二单片机实验

一.实验目的

1了解单片机与EDA接口电路

2学会使用单片机的总线方式与EDA通信

二.实验跳线

1用排线将正申间Altera下载板上JC103和实验板中部下端IDE一CS51用二十针排线连接

2将单片机插在实验板插座(注意方向:

1脚、40脚在上端)

3选择频率源CLKJP155中1024Hz插上短路帽

三.实验项目添加(方式同实验一)

说明:

现只针对如何下载文件

1打开MAX+PLUSII软件,点击“File→project→name”出现如下对话框(图3.13)

图3.13

点左端51display,点OK即可;

2点“MAX+plusII→programmer”后再点“JTAG→Multi→Device→JTAGChainsetup”出现如下对话框(图3.14)

图3.14

将51display.sof项目添加到“multi-DeviceJTAGChainsetup”对话框(图3.14),点ADD回到“PROGRAMMER”对话框,点“

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 初中教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1