十六进制7段数码显示译码器设计实验报告.docx

上传人:b****2 文档编号:25880100 上传时间:2023-06-16 格式:DOCX 页数:21 大小:633.92KB
下载 相关 举报
十六进制7段数码显示译码器设计实验报告.docx_第1页
第1页 / 共21页
十六进制7段数码显示译码器设计实验报告.docx_第2页
第2页 / 共21页
十六进制7段数码显示译码器设计实验报告.docx_第3页
第3页 / 共21页
十六进制7段数码显示译码器设计实验报告.docx_第4页
第4页 / 共21页
十六进制7段数码显示译码器设计实验报告.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

十六进制7段数码显示译码器设计实验报告.docx

《十六进制7段数码显示译码器设计实验报告.docx》由会员分享,可在线阅读,更多相关《十六进制7段数码显示译码器设计实验报告.docx(21页珍藏版)》请在冰豆网上搜索。

十六进制7段数码显示译码器设计实验报告.docx

十六进制7段数码显示译码器设计实验报告

实验名称:

十六进制7段数码显示译码器设计

实验目的:

1.设计七段显示译码器

2.学习文本文件进行逻辑设计输入;

3.学习设计仿真工具的使用方法;

工作原理:

7段数码是纯组合电路,通常的小规模专用,如74或4000系列的器件只能作十进制码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在中来实现。

例如6-18作为7段译码器,输出信号7S的7位分别接图6-17数码管的7个段,高位在左,低位在右。

例如当7S输出为“110110T时,

数码管的7个段分别接1,1,0,1,1,0,1;接有高电平的段发亮,于是数码管显示

“5”。

注意,这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,

例6-18中的7(60)应改为…(70)。

实验内容1:

将设计好的译码器程序在上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。

实验步骤:

步骤1:

新建一个文件夹击打开文件;

步骤2:

编写源程序并保存

1LIBRARYIEEE;

2USEIEEE.STD_LOGIC_11

3□ENTITYDECL75IS

弓SPORT(A:

INSTD_LOGIC_\TCTOR(3DOWTOOH

5LED7S:

OUTSTD_LOGfc_VECTOR(6DOW^TO

6END;

=^ARCHITECTUREoneOFDECL73IS

8=BEGIN

9nPROCESS(A)

10

BEGIN

11

口CASEAIS

12

WHENnQQOOT,->

「ETT7EW11111rp

13

WHEN"OOC1"=>

LED75<-"0000110"

14

WHENMOO10tf=>

LED7S<-"iail011"

15

WHEN"0011Tr=>

LEDP75<=k,1001111n

16

WHE27nO2

匸ED73<=r,lL0O21?

n

17

rFTT7%w=“-m1■•”

18

WHEN"0110"=>

LED7S<="11111C1"

19

WHENM01iln->

LED^S<=r,C0001-ltr

20

LFD7^^=n:

111111m

21

WHENn10jlTr->

*ami

22

WHENM1CCJf,=>

LED75<-n1110111"

23

WHEN"1011"=>

LED7S<=*11111CO"

2^

WHEN"110DT,=>

匸ED7S<=r-CLLlQDlTF

25

31"»>

mm"T|

2-5

WHEN"1110Tr->

L£D75<*"1111001rr

27

WHEN"1111"=>

LED75<-"11100ai"

28

WHzr;

29

ENDCASE;

30

ENDPROCESS;

31

END;

32

步骤3:

新建一个工程及进行工程设置

保再在Q〕:

|[J桌面

 

WhenyouclickFnish,.theprojeciwillbecreatedwiththeFollowingsettings:

Projectdiectory:

C:

/DocumentsandSetbngs^^/^面丿

Projectname:

DECL7S

Toplevddesignentity:

DECL7S

NumberofHleeadded

2

Numberofuserlibrariesadded:

0

Deviceassignments:

Familynanfte:

Cyclane111

Device:

EP3C5E144C8

EDAbook

Designenti^/syntheiis:

Simdation:

Timinganalvs^:

Operatingconditions:

VCCINTvofcage:

1.27

Junctiontemperaturerange:

095^

*.Backfinish职消

afegory

h-Files

Libwi黠

Setectthefamilyanddeviceycuwanttotargetforcompil-ation

Device

±i0pastingSettngsandConditionsilCompilatioriProcessSoilings

3-EDATodSettings

±Anai^istSynthesisSettrigs

FitterSel-linga

jl-TimingAnalysisSettiigsAssemble

DesignAssistant

HSignalTapllLogicAnalyzer

LogicAna^zeiInteil^ce

TSimufatorSettings

PniMPrPl^iiPniiiAr^pHmn-s-

DeviceFamiy

Family|C^loneIII

Deuces-|aII

Showarti'Availabledevices'ist

zi

Targe^ldevce

广合sqdevice$4lec

SpecificdewedetectedinAvailabledevice1li$tCOther:

rr/a

步骤4:

调试程序至无误;

Info:

Designishde.fullycons匸r■呂丄且色ilfor白色匸tiprequireMnc-a

Pack^ie:

Pmcount:

Speedgrade:

_Showadvanceddevices

厂HadCopvDampaldDleonly

UeviceandPinOptions...

Pinros

Designisnoc.fullyCDnscrainedfeeaeELiprequiremenca

◎Info:

S專iInfs:

XjInto:

Design±3h口匸fullyconstrained£orholdrequireincnts

QuartusII64-BitTiM:

(Jue9€TimingAnalyzerwassueeessful.0errors,€warnings

QuartusIIFullCozpilatlonwassuccessful■0errors,11warninga

*Info:

HqgynchpcmizerchsiEiB8report-

ByWatftin.g:

Atl«Aston*&fth*filtetahadpfoblfiMandMiilda&tbemAteh«d・

iiri

 

步骤5:

接着新建一个文件及展出仿真波形设置

:

bystemVenlogiHDL>ile

*-TclSaiptFte

VerilogHDLFile

EndTime

Time:

两~〒|

Defaulte»tBnsionoptions:

LvHDLFile

S-MemoryFtes

HexMfecirMl(HriWformat)File

MemoiryIinitializationFile

B"Vedicalion/DetMjggingFilesln-Sy$lemSwrce$andAcibasFite

:

LogicAnalyzerInterfaceFie

Endtimeextensionper

signal:

SignalName

Direction

Radk

Extensionvalue

EKtensionvalue:

|Lastdockpatlein

p-SignafTapIILogicAnalyzerFile

VectuWav^iarmFite

—OtherFileyAHDLIncludeFileBlock$ymt»IFil0ChainDesovtionFfe

OKCancel

:

SynopsysDesignCwistramtsFilefswtFie

dodeFinder•_■

larrwctp▼[Fi畑;[pins:

allCustomGB...

wkin:

IDECL75I

lodesFound:

+|j币Includesubenlitir

Name

Assignmenfs

Type

Greater

一」'扛

Unassigned

InputGroup

Userentered

i^A[D]

Unassigned

Input

Userentered

_^A[1]

Unassigned

Input

Userentered

□nas^igred

input

Userentered

Unassigned

Jr(5Lt

Us^rentered

■—f

1■■"

r■-

■■-■

V177

j

□A

BODC

禺5

□LEETS

Bm

15.0.

1^0

s箱

EOOC

杏5

Sq

Bm.

〕戸

10.0皿

20L0砧

d

30.0ZkSi

n

15.075环

J

r

0000

L

步骤6:

输入数据并输出结果(时序仿真图)

30.0ns«]»|Pointer:

4.05rtsInteival:

■25.96nsSiatL

End

N:

ame

Value

30.0

B11.

H7

Man芒

£>0

□A

D^2

-A{2]

—「;

-MU

La[O]

i^s

□LED7S

<_zE

—.,,6

07

-.5

-…4

.3

<>10

-...2

-.„.1

312

L,..o

M-ssierTimeBar

100ns

30.0m

30.0ns

丽旷门阪斤:

d”\751厂门疋厂.丫込厂".:

「帀~7?

艾币iTYX匸匝葩i:

Bi心".门“丁X丫厂口、丁帀厂厂11o,Vi订DC口ry丽c~丽幵厂旷门厂厂豆一厂町rTUT

Ops*1*'Poirter:

Qp:

Interv^:

Op:

Start:

0psErd.

0[

Jps2.%U55.12us

3PS

pdooijoolj

ion

lo^iT

(TooT)i

loTo^lo"

HJffToc

i^ioijfrn

^TnX

oooo

r

I_

L

r

1

J_L

1

J~L

r

3F

步骤7:

设置好这个模式

 

■-General

k-Lbaries

7Device

ElOperatingSewingsandConditimsE-CompilationProcessSeHings

&EDAToolSettings

SAnalysis&SimthesisSelliings

:

…FitterSettings

目TimingAn^isSettings

:

Assemblerk-DesignAssistant

SigrialTapIILogicAna^ser

rLogicAnalyzerInterface

E-SimulatorSettings

Power冋刖PwerAnaij^erSmtt喻

SSNlAnalyze

Selectsinruhtionoptions.

Simulation耐也

iFunucticinnl

SimulatiDniripiut:

|DECL7S.Ywf

Simulation!

period

■*Run廟mubtimuntilallveclorstimiJ剖eused

3

Endsimulationat:

|

Gfctchfilteringoptions:

|Auto

MorsSettings...

步骤&生成原理图

步骤9:

引脚锁定及源代码

J

1164;

7S

(A(30);

右ddMMeFiles

 

7(60));

7S

(A)

A

"0000"=>7S<="0111111";

"0001"=>7S<="0000110";

"0010"=>7S<="1011011";

"0011"=>7S<="1001111";

"0100"=>7S<="1100110";

"0101"=>7S<="1101101";

"0110"=>7S<="1111101";

"0111"=>7S<="0000111";

"1000"=>7S<="1111111";

"1001"=>7S<="1101111";

"1010"=>7S<="1110111";

"1011"=>7S<="1111100";

"1100"=>7S<="0111001";

"1101"=>7S<="1011110";

"1110"=>7S<="1111001";

"1111"=>7S<="1110001";

=>;

□TKtKTl

Locabcr

[lOBM.

Gnatfi

]/□StarriM-d

Reserved

O-TTentEtrmgtri

SenHi;*

G

JfEUt

:

ZJVCdefautl)

A[XSD|

{dfffau?

}

2

Jnpjt

]

沽叫ddM

A[X.0|

6mA,[defwC-

*

]r^jL

]

2.5¥[deF«jti:

i

A[氛01

{d^FdOC}

i*M]

lrvwt

1

A^.Ql

5

“L5D75[司

OutpuJt

KHAbK.3

2.5¥(dfllwJi)

LSi?

5[g..a|

HflA:

defui:

2[dcM]

t#LH>75[5]

CXripjt

3

2.SV[He站:

i

LED?

S;[6..nl

BflA『defuC

2(OefaiJt'i

7

led?

5M

Output

3-

2.3V(defautl)

LED?

5[6..nl

ft'iA[defiant}

2(defadl)

B

ALEO為⑸

■Xrtput

2.3¥[deM

L£D7SDS..0[]

阿[defeuC-

2QkFM)

9

HLfl>7S[2J]

Output

3

2.5¥哄啊

[deFau!

}

2『女閱)

D0

UUBD75[U

OuWyl:

]O6A>K„3

3

2-5V[葩

LED爲匾期

加丸阳!

mV

I

n

<>LEM5[q

OuliMt

3

2.尹陰知]

BnA{dvhdE}

12

-c-cnewnode»

实验内容二:

1、硬件测试。

程序不一样,其他步骤相同操作

J

4B

(;(30);

);

4B;

4B

()

(30);

'0'(>'0');

''1'

'1'

1;

J

J

"1111"<='1';

<='0';

<;

2、时序仿真波形:

350.0ns

I

iliaY1111XooooX^n(ooici常即门Xoiooyqiqi并1000工iom'iciioXiioi工lie)(1111乂皿如Xcwi

3、原理图:

实验内容三:

1、源代码:

J

1164;

(000;

(60);

0);

J

4B

(;

(30);

);

7S

((30);

(60));

J

(30);

u14B(>0,>0,>0,>,>0);

u27S(>,>);

1;

3、原理图:

(计数器和译码器连接电路的顶层文件原理图)

注意:

运用实验三,调用实验一和实验二的原理图得计数器和译码器连接电路的顶层文件原理图

在引脚锁定及硬件测试。

建议选48系统的实验电路模式6(参考附录图6),用数码8显示译码输出(4640),键8、键7、键6和键5四位控制输入,硬件验证译码器的工作性能。

提示1:

目标器件选择7000S系列的712884-15。

提示2:

引脚锁定除了参考第5章第2节内容外,具体引脚编号选定应参考“实验附注资料附注3:

万能接插口与结构图信号/与芯片引脚对照表”的“712884”栏目。

提示3:

选实验电路模式6,参考“实验附注资料附注2:

实验电路结构图”的“附图2-8实验电路结构图.6”栏目。

实验心得及个人心得:

通过本次实验,对有了进一步的学习和认识,对也有了深入了解。

学会了7

段数码显示译码器的硬件设计,学习了的语句应用及多层次设计方法。

在设计顶层文件时,最有深刻体会,自己在不知道弄错了多少次和请教过别人多次,在终于知道顶层文件怎样生成的所以我们应该学会认真分析程序,弄清实验原理,做实验时耐心、认真,遇到问题争取自己解决。

认真总结实验,分析波形,完成实验报告。

特别经过一个学期的学习,我并不说我完全懂得技术,我知道在程序方面还有很多要学习的,对于,我都还懂得一些必要的语法和程序。

这门课程锻炼了我读程序的能力和分析语法用法的能力,为我将来学更高级的语言打下了基础,很多语言都是有相通的地方,只是有些用法不太一样而已。

但我学到了运用这个软件,并靠自己慢慢去摸索,慢慢研究。

能多学一些软件就多学一些,毕竟不知道将来的路怎样发展。

虽然老师说这门课程出来后用处

不大,但我觉得锻炼了自我学能力和分析问题、解决问题的能力

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1