T触发器的设计与仿真.docx

上传人:b****3 文档编号:2579912 上传时间:2022-11-02 格式:DOCX 页数:6 大小:240.52KB
下载 相关 举报
T触发器的设计与仿真.docx_第1页
第1页 / 共6页
T触发器的设计与仿真.docx_第2页
第2页 / 共6页
T触发器的设计与仿真.docx_第3页
第3页 / 共6页
T触发器的设计与仿真.docx_第4页
第4页 / 共6页
T触发器的设计与仿真.docx_第5页
第5页 / 共6页
点击查看更多>>
下载资源
资源描述

T触发器的设计与仿真.docx

《T触发器的设计与仿真.docx》由会员分享,可在线阅读,更多相关《T触发器的设计与仿真.docx(6页珍藏版)》请在冰豆网上搜索。

T触发器的设计与仿真.docx

T触发器的设计与仿真

1.T触发器的原理:

对JK触发器,若将输入信号J和K连在一起,即J=K=T,则构成T触发器,T触发器并没有独立的产品,由JK触发器或D触发器转换而来,如下图为T触发器逻辑图:

 

T触发器的电路图:

T触发器的特性方程:

Q*=TQ'+T'Q,T=0时,时钟信号到达后状态保持不变;T=1时每来一个时钟信号它的状态就发生一次翻转,具有翻转功能。

在数电中常用来构成计数器

T触发器的特性表:

T触发器电路特点:

①主从JK触发器采用主从控制结构,从根本上解决了输入信号直接控制的问题,具有 CP=1期间接收输入信号,CP下降沿到来时触发翻转的特点。

②输入信号J、K之间没有约束。

③存在空翻现象。

2.T触发器的设计与仿真:

1)T触发器的编程实现:

libraryieee;

useieee.std_logic_1164.all;

entityt_ffis

port(t,clk,set,clr:

instd_logic;

q:

bufferstd_logic;

qb:

outstd_logic);

endt_ff;

architecturertloft_ffis

begin

process(clk,set,clr)

begin

if(set='0')then

q<='1';

elseif(clr='0')then

q<='0';

elseifclk'eventandclk='1'then

if(t='1')then

q<=notq;

else

q<=q;

endif;

endif;

endif;

endif;

endprocess;

qb<=notq;

endrtl;

2)T触发器时序仿真图:

根据仿真波形分析可知,该程序所实现的上升沿T触发器,在输入信号T=0的时候,输出信号保持前一个状态不变,而当输入信号T=1的时候,每当有一个上升沿的触发脉冲CP到来时,输出信号就与前一个状态相反,即输出发生反转,这与T触发器的理论分析结果是一样的,所以设计的T触发器的程序是正确的。

3心得体会:

 

 这次t触发器的设计与仿真实验使我们学习了EDA(电子设计自动化)的相关技术与方法,同时掌握VHDL语言并能别写程序。

同时还要学会Quartus II软件的使用,掌握利用该软件进行程序编辑、编译、调试和仿真的方法。

开始我觉得这个任务还是有点难度的,因为我还是第一次接触Quartus II软件和VHDL语言,所以要在短时间内完成这次的实验,还是感觉有些压力的。

 

 正是因为不会,所以要从头开始学习。

不得不说,我先是学会了如何用Quartus II软件利用波形图对模型进行仿真的方法,随后,又学会了利用VHDL语言编写程序设计T触发器,直到最后完成了所有的模型搭建,程序设计,波形的仿真之后才觉得,这些知识并不是不可能完成的任务。

 

总的来说,这次的实验对我来讲,还是有点难度的。

当然,从另一方面讲,这也是在考验自己的自学能力。

但做完这次的实验再回头来看,这个问题其实也没有多难。

另外,Quartus II软件的熟练使用,在这次的实验中还是很重要的。

程序的编写,输出信号的仿真都要用到。

所以,从不会这个软件到能简单的使用,也可以说是一个小小的挑战。

最后,我觉得,每一次的实验对于我们来说,都是一次难得的学习机会。

所以,我们应该认真对待每次的实验环节。

在掌握相关知识和软件的使用的前提下,努力完成实验。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 公共行政管理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1