数字温度计课程设计报告.docx

上传人:b****7 文档编号:25470578 上传时间:2023-06-09 格式:DOCX 页数:24 大小:2.87MB
下载 相关 举报
数字温度计课程设计报告.docx_第1页
第1页 / 共24页
数字温度计课程设计报告.docx_第2页
第2页 / 共24页
数字温度计课程设计报告.docx_第3页
第3页 / 共24页
数字温度计课程设计报告.docx_第4页
第4页 / 共24页
数字温度计课程设计报告.docx_第5页
第5页 / 共24页
点击查看更多>>
下载资源
资源描述

数字温度计课程设计报告.docx

《数字温度计课程设计报告.docx》由会员分享,可在线阅读,更多相关《数字温度计课程设计报告.docx(24页珍藏版)》请在冰豆网上搜索。

数字温度计课程设计报告.docx

数字温度计课程设计报告

课程设计报告书

课程名称:

电工电子课程设计

题目:

数字温度计

学院:

信息工程学院

系:

电气工程及其自动化

专业班级:

电力系统及其自动化113

学号:

6100311096

学生姓名:

李超红

起讫日期:

6月19日——7月2日

指导教师:

郑朝丹职称:

讲师

学院审核(签名):

审核日期:

内容摘要:

目前,单片机已经在测控领域中获得了广泛的应用,它除了可以测量电信以外,还可以用于温度、湿度等非电信号的测量,能独立工作的单片机温度检测、温度控制系统已经广泛应用很多领域。

单片机是一种特殊的计算机,它是在一块半导体的芯片上集成了CPU,存储器,RAM,ROM,及输入与输出接口电路,这种芯片称为:

单片机。

由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便的优点,使它迅速的得到了推广应用,目前已成为测量控制系统中的优选机种和新电子产品中的关键部件。

单片机已不仅仅局限于小系统的概念,现已广泛应用于家用电器,机电产品,办公自动化用品,机器人,儿童玩具,航天器等领域。

本次课程设计,就是用单片机实现温度控制,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。

本次采用DS18B20数字温度传感器来实现基于51单片机的数字温度计的设计。

本文介绍了一个基于STC89C52单片机和数字温度传感器DS18B20的测温系统,并用LED数码管显示温度值,易于读数。

系统电路简单、操作简便,能任意设定报警温度并可查询最近的10个温度值,系统具有可靠性高、成本低、功耗小等优点。

关键词:

单片机数字温度传感器数字温度计

设计任务与要求

此次课程设计,就是用单片]实现温度控制,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。

本次采用DS18B20数字温度传感器来实现基于51单片机的数字温度计的设计。

Ø9V供电;

Ø温度采集采用DS18B20;

Ø4位LED显示;

Ø设计温度控制器原理图,并用proteus进行仿真;

Ø用altiumdesigner画出PCB并制好印刷电路板;

Ø设计和绘制软件流程图,用C语言进行程序编写;焊接硬件电路,进行调试。

设计方案与选材

提及到温度的检测,我们首先会考虑传统的测温元件有热电偶和热电阻,而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,需要比较多的外部硬件支持,硬件电路复杂,软件调试也复杂,制作成本高。

因此,本数字温度计设计采用智能温度传感器DS18B20作为检测元件,测温范围为-55°C至+125°C,最大分辨率可达0.0625°C。

DS18B20可以直接读出被测量的温度值,而采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的特点。

按照系统设计功能的要求,确定系统由三个模块组成:

主控制器STC89C51,温度传感器DS18B20,驱动显示电路。

总体电路框图如下:

 

DS18B20温度传感器

本设计的测温系统采用芯片DS18B20,DS18B20是DALLAS公司的最新单线数字温度传感器,它的体积更小,适用电压更宽,更经济。

实现方法简介

DS18B20采用外接电源方式工作,一线测温一线与STC89C51连接,测出的数据放在寄存器中,将数据经过BCD码转换后送到LED显示。

DS18B20温度传感器是美国DALLAS半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。

DS18B20的性能特点如下:

●独特的单线接口仅需要一个端口引脚进行通信;

●多个DS18B20可以并联在惟一的三线上,实现多点组网功能;

●无须外部器件;

●可通过数据线供电,电压范围为3.0~5.5V;

●零待机功耗;

●温度以9或12位数字;

●用户可定义报警设置;

●报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件;

●负电压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常工作;

DS18B20内部结构主要由四部分组成:

64位光刻ROM,温度传感器,非挥发的温度报警触发器TH和TL,高速暂存器。

DS18B20的管脚排列如图2-3-1所示。

64位光刻ROM是出厂前被光刻好的,它可以看作是该DS18B20的地址序列号。

不同的器件地址序列号不同。

 

  

 

图3.3DS18B20的内部结构

 

图3.4DS18B20的引脚分布图

64位ROM的结构开始8位是产品类型的编号,接着是每个器件的惟一的序号,共有48位,最后8位是前面56位的CRC检验码,这也是多个DS18B20可以采用一线进行通信的原因。

温度报警触发器TH和TL,可通过软件写入户报警上下限。

DS18B20温度传感器的内部存储器还包括一个高速暂存RAM和一个非易失性的可电擦除的EERAM。

高速暂存RAM的结构为8字节的存储器,结构如图2-3-2所示。

头2个字节包含测得的温度信息,第3和第4字节TH和TL的拷贝,是易失的,每次上电复位时被刷新。

第5个字节,为配置寄存器,它的内容用于确定温度值的数字转换分辨率。

DS18B20工作时寄存器中的分辨率转换为相应精度的温度数值。

该字节各位的定义如下图所示。

低5位一直为1,TM是工作模式位,用于设置DS18B20在工作模式还是在测试模式,DS18B20出厂时该位被设置为0,用户要去改动,R1和R0决定温度转换的精度位数,来设置分辨率。

图3.5DS18B20的字节定义

DS18B20高速暂存器共9个存存单元,如表所示:

表3-1DS18B20的引脚分布图

序号

寄存器名称

作   用

序号

寄存器名称

0

温度低字节

以16位补码形式存放

4、5

保留字节1、2

1

温度高字节

6

计数器余值

2

TH/用户字节1

存放温度上限

7

计数器/℃

3

HL/用户字节2

存放温度下限

8

CRC

 

以12位转化为例说明温度高低字节存放形式及计算:

12位转化后得到的12位数据,存储在18B20的两个高低两个8位的RAM中,二进制中的前面5位是符号位。

如果测得的温度大于0,这5位为0,只要将测到的数值乘于0.0625即可得到实际温度;如果温度小于0,这5位为1,测到的数值需要取反加1再乘于0.0625才能得到实际温度。

高8位

S

S

S

S

S

26

25

24

低8位

23

22

21

20

2-1

2-2

2-3

2-4

表3-2DS18B20的字节存放表

由下图可以看到,Dsl8820的内部存储器是由8个单元组成,其中第0、1个存放测量温度值,第2、3分别存放报警温度的上下限值,第4单元为配置单元,5、6、7单元在DSl8820这里没有被用到。

对于第4个寄存器,用户可以设置温度转换精度,系统默认12bit转换精度,相当于十进制的0.0625℃,其转换时间大约为750磷。

具体见表2-4-1。

 

图3.6内部存储器结构图

 

 

表3-3温度精度配置

R1

R0

转换精度(16进制)

转换精度(十进制)

转换时间

0

0

9bit

0.5

93.75ms

0

1

10bit

0.25

187.5ms

1

0

11bit

0.125

375ms

1

1

12bit

0.0625

750ms

由表3-3可见,DS18B20温度转换的时间比较长,而且分辨率越高,所需要的温度数据转换时间越长。

因此,在实际应用中要将分辨率和转换时间权衡考虑。

高速暂存RAM的第6、7、8字节保留未用,表现为全逻辑1。

第9字节读出前面所有8字节的CRC码,可用来检验数据,从而保证通信数据的正确性。

当DS18B20接收到温度转换命令后,开始启动转换。

转换完成后的温度值就以16位带符号扩展的二进制补码形式存储在高速暂存存储器的第1、2字节。

单片机可以通过单线接口读出该数据,读数据时低位在先,高位在后,数据格式以0.0625℃/LSB形式表示。

当符号位S=0时,表示测得的温度值为正值,可以直接将二进制位转换为十进制;当符号位S=1时,表示测得的温度值为负值,要先将补码变成原码,再计算十进制数值。

表2-4-2是一部分温度值对应的二进制温度数据。

表3-4温度精度配置

温度/℃

二进制表示

十六进制表示

+125

0000011111010000

07D0H

+85

0000010101010000

0550H

+25.0625

0000000110010000

0191H

+10.125

0000000010100001

00A2H

+0.5

0000000000000010

0008H

0

0000000000001000

0000H

-0.5

1111111111110000

FFF8H

-10.125

1111111101011110

FF5EH

-25.0625

1111111001101111

FE6FH

-55

1111110010010000

FC90H

DS18B20完成温度转换后,就把测得的温度值与RAM中的TH、TL字节内容作比较。

若T>TH或T<TL,则将该器件内的报警标志位置位,并对主机发出的报警搜索命令作出响应。

因此,可用多只DS18B20同时测量温度并进行报警搜索。

在64位ROM的最高有效字节中存储有循环冗余检验码(CRC)。

主机ROM的前56位来计算CRC值,并和存入DS18B20的CRC值作比较,以判断主机收到的ROM数据是否正确。

DS18B20的测温原理是这这样的,器件中低温度系数晶振的振荡频率受温度的影响很小,用于产生固定频率的脉冲信号送给减法计数器1;高温度系数晶振随温度变化其振荡频率明显改变,所产生的信号作为减法计数器2的脉冲输入。

器件中还有一个计数门,当计数门打开时,DS18B20就对低温度系数振荡器产生的时钟脉冲进行计数进而完成温度测量。

计数门的开启时间由高温度系数振荡器来决定,每次测量前,首先将-55℃所对应的一个基数分别置入减法计数器1、温度寄存器中,计数器1和温度寄存器被预置在-55℃所对应的一个基数值。

减法计数器1对低温度系数晶振产生的脉冲信号进行减法计数,当减法计数器1的预置值减到0时,温度寄存器的值将加1,减法计数器1的预置将重新被装入,减法计数器1重新开始对低温度系数晶振产生的脉冲信号进行计数,如此循环直到减法计数器计数到0时,停止温度寄存器的累加,此时温度寄存器中的数值就是所测温度值。

其输出用于修正减法计数器的预置值,只要计数器门仍未关闭就重复上述过程,直到温度寄存器值大致被测温度值。

另外,由于DS18B20单线通信功能是分时完成的,它有严格的时隙概念,因此读写时序很重要。

系统对DS18B20的各种操作按协议进行。

操作协议为:

初使化DS18B20(发复位脉冲)→发ROM功能命令→发存储器操作命令→处理数据。

由于DS18B20采用的“一线总线”结构,所以数据的传输与命令的通讯只要通过微处理器的一根双向I/o口就可以实现。

DSl8B20约定在每次通信前必须对其复位,具体的复位时序如图2-4-2所示。

图3.7复位时序图

图2-4-3中所示,tRSTL为主机发出的低电平信号,本文中有AT89S52提供,tRSTL的最小时延为

,然后释放总线,检查DSl8B20的返回信号,看其是否已准备接受其他操作,其中tPDHIGH时间最小为

,最长不能超过

,否则认为DS18B20没有准备好,主机应继续复位,直到检测到返回信号变为低电平为止。

表3-5DS18B20的ROM操作指令

操作指令

33H

55H

CCH

F0H

ECH

含义

读ROM

匹配ROM

跳过ROM

搜索ROM

报警搜索ROM

表3-6DS18B20的存储器操作指令

操作指令

4EH

BEH

48H

44H

D8H

B4H

含义

内部复制

温度转换

重新调出

读电源

主机一旦检测到DS18B20的存在,根据DS18B2的工作协议,就应对ROM进行操作,接着对存储器操作,最后进行数据处理。

在DS18B20中规定了5条对ROM的操作命令。

见表2-4-3。

主机在发送完ROM操作指令之后,就可以对DS18B20内部的存储器进行操作,同样DS18B20规定了6条操作指令。

见表2-4-4。

DS18B20的读、写时序图见图2-4-3。

图3.8DS18B20的读写时序图

2.DS18B20的使用方法

  由于DS18B20采用的是1-Wire总线协议方式,即在一根数据线实现数据的双向传输,而对AT89S51单片机来说,硬件上并不支持单总线协议,因此,我们必须采用软件的方法来模拟单总线的协议时序来完成对DS18B20芯片的访问。

  由于DS18B20是在一根I/O线上读写数据,因此,对读写的数据位有着严格的时序要求。

DS18B20有严格的通信协议来保证各位数据传输的正确性和完整性。

该协议定义了几种信号的时序:

初始化时序、读时序、写时序。

所有时序都是将

图3.9DS18B20的复位时序

图3.10DS18B20的读时序

图3.11DS18B20的写时序

主机作为主设备,单总线器件作为从设备。

而每一次命令和数据的传输都是从主机主动启动写时序开始,如果要求单总线器件回送数据,在进行写命令后,主机需启动读时序完成数据接收。

数据和命令的传输都是低位在先。

对于DS18B20的读时序分为读0时序和读1时序两个过程。

  对于DS18B20的读时隙是从主机把单总线拉低之后,在15秒之内就得释放单总线,以让DS18B20把数据传输到单总线上。

DS18B20在完成一个读时序过程,至少需要60us才能完成。

DS18B20的写时序,对于DS18B20的写时序仍然分为写0时序和写1时序两个过程,

对于DS18B20写0时序和写1时序的要求不同,当要写0时序时,单总线要被拉低至少60us,保证DS18B20能够在15us到45us之间能够正确地采样IO总线上的“0”电平,当要写1时序时,单总线被拉低之后,在15us之内就得释放单线

proteus仿真设计

画出电路仿真图进行仿真

硬件电路设计

运用altiumdesigner软件画出原理图

 

然后建立PCB工程布线,布局。

打印出PCB用热转印机转印到覆铜板上然后腐蚀制版,再焊接元器件。

 

电路焊接板图片如下:

 

软件程序设计

根据焊接的硬件电路PO口控制数码管的段码即显示什么数字根据分析得到编码为ucharcodetable1[]={

0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71};//不带小数点的数码管编码

ucharcodetable2[]={

0xbf,0x86,0xdb,0xcf,

0xe6,0xed,0xfd,0x87,

0xff,0xef,0xf7,0xfc,

0xb9,0xde,0xf9,0xf1};//加小数点

分别为不加小数点和加小数点的数码管编码。

P2口控制数码管位选对数码管进行扫描显示。

最终设计出的程序为:

/********************************************************

/*DS18B20测温程序*/

/*目标器件:

AT89S51*/

/*晶振:

11.0592MHZ*/

/*编译环境:

Keil7.50A*/

/********************************************************/

/*********************************包含头文件********************************/

#include

/*******************************共阴LED段码表*******************************/

unsignedcharcodetab[]={

0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71};//不带小数点的数码管编码

unsignedcharcodetab2[]={

0xbf,0x86,0xdb,0xcf,

0xe6,0xed,0xfd,0x87,

0xff,0xef,0xf7,0xfc,

0xb9,0xde,0xf9,0xf1};//加小数点

/*********************************端口定义**********************************/

sbitDQ=P3^3;//数据传输线接单片机的相应的引脚

/*********************************定义全局变量******************************/

unsignedchartempL=0;//临时变量低位

unsignedchartempH=0;//临时变量高位

floattemperature;//温度值

/*******************************************************************

函数功能:

延时子程序

入口参数:

k

出口参数:

********************************************************************/

voiddelay(unsignedintk)

{

unsignedintn;

n=0;

while(n

{n++;}

return;

}

/********************************************************************

函数功能:

数码管扫描延时子程序

入口参数:

出口参数:

********************************************************************/

voiddelay1(void)

{

intk;

for(k=0;k<400;k++);

}

/********************************************************************

函数功能:

数码管显示子程序

入口参数:

k

出口参数:

********************************************************************/

voiddisplay(intk)

{

P2=0x07;

P0=tab[k/1000];

delay1();

P2=0x0b;

P0=tab[k%1000/100];

delay1();

P2=0xfd;

P0=tab2[k%100/10];

delay1();

P2=0x0e;

P0=tab[k%10];

delay1();

P2=0xff;

}

/****************************************************************

函数功能:

DS18B20初始化子程序

入口参数:

出口参数:

*****************************************************************/

Init_DS18B20(void)

{

unsignedcharx=0;

DQ=1;//DQ先置高

delay(8);//延时

DQ=0;//发送复位脉冲

delay(85);//延时(>480ms)

DQ=1;//拉高数据线

delay(14);//等待(15~60ms)

}

/********************************************************************

函数功能:

向DS18B20读一字节数据

入口参数:

出口参数:

dat

********************************************************************/

ReadOneChar(void)

{

unsignedchari=0;

unsignedchardat=0;

for(i=8;i>0;i--)

{

DQ=1;

delay

(1);

DQ=0;

dat>>=1;

DQ=1;

if(DQ)

dat|=0x80;

delay(4);

}

return(dat);

}

/********************************************************************

函数功能:

向DS18B20写一字节数据

入口参数:

dat

出口参数:

********************************************************************/

WriteOneChar(unsignedchardat)

{

unsignedchari=0;

for(i=8;i>0;i--)

{

DQ=0;

DQ=dat&0x01;

delay(5);

DQ=1;

dat>>=1;

}

delay(4);

}

/********************************************************************

函数功能:

向DS18B20读温度值

入口参数:

出口参数:

temperature

********************************************************************/

ReadTemperature(void)

{

Init_DS18B20();//初始化

WriteOneChar(0xcc);/

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1