基于CPLD的无刷直流电动动机驱动器设计.docx

上传人:b****9 文档编号:25354542 上传时间:2023-06-07 格式:DOCX 页数:42 大小:296.68KB
下载 相关 举报
基于CPLD的无刷直流电动动机驱动器设计.docx_第1页
第1页 / 共42页
基于CPLD的无刷直流电动动机驱动器设计.docx_第2页
第2页 / 共42页
基于CPLD的无刷直流电动动机驱动器设计.docx_第3页
第3页 / 共42页
基于CPLD的无刷直流电动动机驱动器设计.docx_第4页
第4页 / 共42页
基于CPLD的无刷直流电动动机驱动器设计.docx_第5页
第5页 / 共42页
点击查看更多>>
下载资源
资源描述

基于CPLD的无刷直流电动动机驱动器设计.docx

《基于CPLD的无刷直流电动动机驱动器设计.docx》由会员分享,可在线阅读,更多相关《基于CPLD的无刷直流电动动机驱动器设计.docx(42页珍藏版)》请在冰豆网上搜索。

基于CPLD的无刷直流电动动机驱动器设计.docx

基于CPLD的无刷直流电动动机驱动器设计

中文摘要

无刷直流电机(BLDC)是利用电子换向装置代替有刷直流电机的机械换向装置,无刷直流电机(BLDC)相比较于有刷直流电机来说有很多优点,例如无刷直流电机比有刷直流电机的体积小、重量轻、效率高、无励磁损耗等,而且运行十分可靠,维护比较方便。

而CPLD集成度高,编程灵活,并且填补了PLD只能应用于小规模电路的局限,能够应用于大规模电路。

所以研究基于CPLD无刷直流电机BLDC驱动器的设计,具有十分重要的意义。

本论文系统的阐述了无刷直流电动机的原理及控制技术,在此基础上提出了基于CPLD无刷直流电动机驱动器的设计。

本课题设计包括系统的硬件设计和软件设计。

系统硬件设计严格按照模块化的设计方法,主要模块有ARM系列微控制器LPC2138模块、CPLD控制器模块、智能功率IPM模块、转子位置检测模块、电流电压检测模块及人机接口模块等,理解和分析了硬件电路各个模块之后,在proteus上绘制了系统电路图。

系统的软件设计提出了数字PI控制算法,并完成了相关CPLD硬件描述语言VHDL设计与编程。

关键词CPLDBLDCARM微控制器LPC2138

 

 

ABSTRACT

ThebrushlessDCmotor(BLDC)istheuseofelectronicsteeringdeviceinsteadofmechanicalreversingdeviceofbrushDCmotor,socomparedwiththebrushDCmotor,thebrushlessDCmotor(BLDC)hasmanyadvantages,suchassmallvolume,lightweight,highefficiency,noexcitationloss,andtheoperationisreliable;themaintenanceisconvenient.WhileCPLDishighlyintegrated,flexibleprogramming,anditfillthedisadvantagesthatthePLDcanonlybeappliedtosmallscalecircuitlimitations.itcanbeappliedtolargescalecircuit.SotheresearchonthedesignofCPLDbrushlessDCmotorbasedontheBLDCdriveisoftheveryvitalsignificance.

ThepaperdescribestheprincipleandcontrolsystemofthebrushlessDCmotor,itproposesthedesignofbrushlessDCmotordriverbasedonCPLD.Thedesignincludeshardwaredesignandsoftwaredesign.Thehardwaredesignofthesystemisinaccordancewiththemodulardesignmethodstrictly,themainmoduleincludesARMseriesmicrocontrollerLPC2138module,CPLDcontrollermodule,intelligentpowermoduleIPM,therotorpositiondetectionmodule,currentandvoltagedetectionmoduleandman-machineinterfacemoduleandsoon,afterunderstandingandanalysisofthehardwarecircuitofeachmodule,thedrawingofthecircuitdiagramisdrawninProteussystem.ThesystemofthesoftwaredesignproopsesthedigitalPIcontrolalgorithm,andtheCPLDhardwaredescriptionlanguageVHDLdesignandprogrammingiscompleted.

KEYWORDSPWMCPLDBLDCARMmicrocontrollersLPC2138

 

 

第一章绪论

1.1课题的背景和意义

在无刷直流电机之前,工业应用的是有刷直流电机,但是随着电子电力器件和微控制技术的发展,有刷直流电机不能进一步满足工业需求,随之由无刷直流电机代替。

两者相比较而言,无刷直流电机比有刷直流电机有很大的优势,这种优势是有两者的内部结构不同而产生的。

虽然无刷电机和有刷电机都有定子和转子,但是两者结构正好相反;有刷电机的结构是传统的定子材料为永磁磁钢,转子上带有电枢绕组,转子连接着外部的动力输出轴;然而对于无刷电机来说,转子不再带有是电枢绕组,而是和有刷的定子材料一样,变成了永磁磁钢,同样与外部动力输出轴相连,定子却变成了带有电枢绕组的线圈,这样一来,由于定子是绕组线圈,所以没有了原来的换向电刷,减少了磨损。

  

传统上,有刷电机在工作时,只有线圈和换向器旋转,而磁钢和碳刷是不跟着转的,线圈电流方向的交替变化也是根据随电机转动的换相器和电刷来完成的。

由于有刷有齿直流电机是速度非常快电机,但齿轮的齿很小,这样一来,齿轮就很容易磨损。

而无刷直流电机,由于定子是绕组线圈,没有电刷,在使用过程中理所当然就省去了来回换碳刷的不便。

并且无刷电机控制精度高于有刷电机。

无刷电机消除电刷的磨损,,并且无刷电机没有电刷接触所产生的噪声。

有刷电机采用机械换向,寿命短﹑噪声大﹑产生电火花,效率低。

有刷电机连续使用一定时间就需更换电机内碳刷。

无刷电机以电子换向取代机械换向,无机械摩擦,无磨损,无电火花,免维护且能做到更加密封等特点所以技术上要优于有刷电机。

另外,无刷直流电机的高效率,高效区域大,功率和转矩密度高,永磁无刷直流电机在任何情况下转子都是同步运行,交流流频电机是变频调速,无刷直流电机是调速变频,电机在同步转速下运行,转子既无铜耗又无铁耗。

无刷直流电机又有另外的一系列优点,比如说电压要求低,启动的电流也不需要很大,堵转

的效果也很好等等。

无刷直流电机(BLDC)近几年得到迅速的发展,它作为一种新型的电机,解决了有刷电机的种种缺点。

随着工业技术的发展,对系统提出了一系列的要求,例如,要求响应速度快,可靠性高,精度高,稳定性好等等,但是通常如果采用基于单片机等芯片的控制系统一般难以实现这一要求,而基于DSP等芯片的控制系统一般成本又太高。

但随着工业发展,无刷直流电机的各种控制方法也在不断地得到完善,尤其是可编程逻辑器件CPLD的出现,为满足用户的这些要求提供很大的可能性,本课题便是基于这一背景而提出的。

研究和设计基于CPLD的脉宽调整器,再结合智能功率模块IPM,以完成无刷直流电机驱动器的设计具有重要意义。

1.2国内外研究现状和发展趋势

1.2.1无刷直流电机介绍

在1955年,美国的D.哈里森等科学家第一次申请并通过了用晶体管换向的方法代替电机机械换向方法的专利,这就是现在的无刷直流电机的开始。

之后经过几代人不懈的努力,在1962年无刷直流电机又发展到一个新的台阶,即用霍尔元件实现了换向的方法。

到了80年代及以后,电力电子技术以及微电子技术得到迅猛发展,并且朝着集成化装置的方向在发展,也就是说,装置将驱动、保护电路、控制以及功率器件集成在一个元件上,即功率集成电路,这样以来,电机装置的体积就变得很小而且设计也比以前简单化了。

由于电力电子工业的迅猛发展,使得功率器件的性能得到进一步改善并且价格也在逐渐下降,物美价廉的好处使得无刷直流电机得到普遍应用。

另外,无刷直流电机的控制器由于电力电子工业的迅猛发展,经历了几个非常重要的阶段,比如有之前单纯模拟控制到之后的模拟和数字混合控制再到最后的单纯的数字控制。

以前的无刷直流电机都是由模拟器件加之硬件接线组成的。

众所周知,模拟器件在物理特性方面有一些不容忽视的缺点。

比如零漂温漂问题,以及元器件容易老化的问题,并且还有一个致命的缺点就是由于电力电子工业迅猛发展,升级换代比较频繁,而模拟器件用硬接线的方式不容易升级换代,这样以来,就不能满足电子系统的设计需求。

难以满足设计需求的模拟器件最终被纯数字控制电路系统代替。

一开始数控系统主要靠单片机,因为单片机有一系列优点,比如像51系列单片机,它们的价格非常便宜,到处都能买到,而且运行起来速度也比较快。

虽然单片机有上述一系列优点,但是单片机控制能力简单,随着电力电子工业迅猛发展,不能满足一些复杂控制功能的要求。

到目前为止,运用最广泛的控制比如CPLD、DSP、ARM系列微控制器等等。

它们能够很好的驾驭发杂高性能控制要求。

由于我国是发展中国家,工业起步相比较其他国家来说,起步晚,技术不成熟也不完善,但最近几年,也在发生日新月异的变化。

我国是在二十世纪七十年代早期才着手研究无刷直流电机的,这些研究场所主要是一些科学研究所以及一些大学院校。

由于我国研制开发偏向理论方面,所以无刷直流电机的研究方面的有关理论和实践严重不协调,相比于发达国家来说,技术水平远远落后,无刷直流电机发展空间比较大,有待于进一步完善和发展。

无刷直流电机在我国的很多方面都得到了运用,例如航空、汽车、家用电器设备、卫生医疗方面都有涉猎,并且在一些重要的城市都具备了一定的规模。

无刷直流电机工业虽然在发展过程中暴露了很多问题,例如我国处在产业链的底端,一些高级技术无缘涉猎,产业结构有待完善、劳动力廉价、工业造成的环境污染相对严重、效率较低、资源浪费比较严重、工业技术创新不够、管理相对腐败等等问题,但是我国无刷直流电机仍在不断的得到发展。

无刷直流电机如果按照的工作特性来分,一般是把它分为两类:

第一类是BLDC即具有电机直流特点的无刷直流电机,既是本次课题研究的一类无刷直流电机,此种电机供电电源是直流类型的,由安装在转子位置上的位置传感器来检测转子的位置,将检测的信号送给相应的微控制器的控制端,进一步触发电子电子换向。

第二大类就是PMSM既是电机直流特点的无刷直流电机,该电机也是有通有直流的电源供电的,但是直流电流没有直接送给无刷直流电机,而是通过相应的逆变器把直流电流转换成交流电流之后,才送给无刷直流电机的。

上述两大类电机的相同点都是定子是绕组,转子是永磁体。

不同点是转子永磁体的材料不同,因此无论是在工作性能方面还是控制端方面都是不同的。

无刷直流电机电流波形为方波,通过控制逆变器的输出电压,使输出电流和梯形波形反电动势的平顶部分相位一致。

电机绕组一般工作于120°导通状态下,控制比较简单,对位置传感器要求低,一个电周期内只需要知道转子的六个位置即可,因此成本也低。

所以在对电机定位精度要求不特别高的传动场合,永磁无刷直流电机因其控制简单,效率高,体积小而具有明显的优势[1]。

1.2.2无刷直流电机的发展趋势

目前来看,国内外无刷直流电机的一般控制技术已经比较成熟,我国已经制定了GJBI863无刷直流电机通用规范。

当前的研究热点主要集中在以下三个方面:

①研究无位置传感器控制技术以提高系统可靠性,并进一步缩小电机尺寸与重量。

②从电机设计和控制方法等方面出发,研究无刷直流电机转矩波动抑制方法,从而提高其伺服精度,扩大其应用范围。

③设计可靠、小巧、通用性强的集成化无刷直流电机控制器[3]。

根据目前的发展状况,可以看出,无刷直流电机正在朝着双闭环控制方向发展。

从过去到现在的20多年的时间里,无刷直流电机无论是在国内还是在国外,都得到了全面发展,控制能力得到了完善。

因为无刷电机在工业方面运用广泛,其前途一片光明。

各个国家都在竞相加速对无刷直流电机的研究开发,无刷直流电机得到发展比较充分的两个国家是工业发达的美国和日本。

两个国家也因此占领的市场领域比较大。

但是无刷直流电机在两个国家的侧重方面不同,无刷直流电机在日本是比较偏向民用的,而在美国则是比较偏向军事方面应用的。

1.3本文的研究内容

目前带位置传感器的无刷直流电机无论是国内还是国外在被广泛的使用中,对于带有位置传感器的无刷直流电机,本课题设计了基于CPLD以及ARM微控制器为核心控制的无刷直流电机驱动。

具体设计和研究要求包括:

(1)深入分析无刷直流电机PWM调制原理和方法;

(2)掌握可编程逻辑器件CPLD和智能功率模块IPM的工作原理和硬件描述语言VHDL;

(3)根据选定的无刷直流电机,完成基于CPLD的脉宽调制器和智能功率模块IPM的驱动器电路设计、制作和调试;

(4)确定合适的PWM调制方式,应用硬件描述语言编写和调试无刷直流电机脉宽调制程序;

(5)完成相关专业英文资料的翻译、调研报告;

(6)文挡整理,撰写论文。

 

 

第二章无刷直流电动机原理及控制技术

2.1无刷直流电动机结构和原理

2.1.1无刷直流电动机的结构

无刷直流电动机的结构与我们所熟知的有刷直流电动机的结构正好相反,有刷直流电动机的定子是永磁体,而转子是绕组线圈;但无刷直流电动机的定子是绕组线圈,而转子是永磁体,位置传感器组件位于转子轴承上。

无刷直流电动机是由电机本体、定子线圈绕组、转子永磁体、转子位置传感器、电子换向电路组成的,其组成框图如图2.1所示:

图2.1永磁无刷直流电机构成框图

 

1.电机本体和定子线圈绕组

和一般的直流电动机相比较而言,无刷直流电动机在结构上是与其相似的,只不过是定子和转子的构造进行了交换而已。

无刷直流电机的转子变成了永磁体,而定子变成了电枢绕组。

定子的绕组一般是三相或者四相或五项,根据不同的用途而决定,绕组的接法也有两种,一种是星形接法,另一种是三角形接法,它们都与逆变器的各个功率管相接,用来满足换相需求。

2.转子永磁体

转子的结构类型有两类,第一类叫做凸极式,第二类叫做隐极式。

顾名思义,凸极式指的是永磁体是粘在转子的表面上;而隐极式指的是永磁体不在转子表面上,而是嵌入到了转子内部铁心当中。

无刷直流电动机的定子装有绕组线圈,其上有齿槽,齿槽的个数不是随便规定的,而是和转子的极数和相序数都有关系的,并且要是两者的整数倍才行。

绕组线圈的相数一般有二、三、四、五相,但在实际应用中,普遍采用三相与四相。

各相绕组离不开电子开关电路,与其紧密连接,而电子开关电路中的开关管的通断是由位置传感器反馈回来的控制信号决定的。

3.电子换向电路

电子换向电路的用途是控制无刷直流电机定子绕组线圈的通电相序和通断时间。

电子换向电路一般是由功率开关管和逻辑控制电路构成的。

在本课题中,主开关采用的是IGBT全控型器件。

电子换向电路最重要的部分是功率开关管,它的用途是以一定的逻辑关系把电源的功率分配给定子的各相绕组,以来能够产生连续不断的转矩驱动电动机旋转。

另外,由位置传感器检测到转子的信号传给控制部分,控制部分根据计算需要产生对应的脉冲信号使得功率开关管通断。

2.1.2位置传感器

在无刷直流电动机中,广泛使用的位置传感器有三种即磁式位置传感器;光电式位置传感器和霍尔元件位置传感器。

1.电磁式位置传感器[9]

电磁位置传感器是利用电磁效应来实现位置测量的。

它是由转子和定子两部分组成。

转子是一个用非导磁材料(如铝合金)制成的圆盘,其上面镶嵌有扇形的导磁材料。

扇形导磁片的个数与无刷直流电动机转子磁极的极对数相等。

转子与电动机轴连在一起,随电动机同步转动。

定子是由高频导磁材料的铁心制成,一般有6个极数,等间距分布,每个极上都缠有线圈。

其中互相间隔的3个极数为同一绕组,接高频电源,作为励磁极;另外3个极数各有自己的独立绕组,作为感应极,是传感器的输出端。

励磁极所产生的高频磁通通过转子上的导磁材料耦合到感应极上的绕组A上,在绕组上产生感应电压UA,而在其他2个绕组B、C上,因为非导磁材料阻隔而不能形成磁路,所以感应电压为0.假设随着电动机的逆时针转动,导磁扇片也跟着转动,并逐渐靠近绕组B,远离绕组A而产生感应电压UB,并逐渐增大,绕组A上的感应电压UA逐渐减小为0.这样循环下去,电磁式位置传感器就可以得到3个输出电压UA、UB、UC,它们呈脉动形状,互相间隔120°相位。

虽然电磁式位置传感器输出信号强,工作可靠,适应性强;但它的信噪比比较低,体积大,输出是交流信号,需要经整流和滤波后才能使用,所以,它在早期应用较多,现在已经逐渐退出。

2.光电式位置传感器

光电式位置传感器,顾名思义,其原理是采用光电效应得来的,电机的转子上面安装有遮光板,转子的一侧有位置不动的光源,另外一侧有光电管构成。

转子上的遮光板能够随着转子的一块运动。

由于在一个周期内需要检测转子的6个不同的状态,所以需要3个位置传感器才能满足要求,它们之间相隔电角度为120°,遮光板上带有缺口,缺口的个数正好等于定子绕组极对数,当缺口和某一个光电管正对时,固定的光源能够通过缺口照到这个光电管上,这样就会产生”电流”,而没有收到光源照射的光电管则不产生电流。

所以,随着转子的旋转光电管上会出现产生电流和不产生电流交替进行,这样就可以把它们转化成高低不同的电压信号,用来表示转子所在的位置。

光电式位置传感器的最大的优点在于它的性能非常稳定,缺点是固定光源的使用时间不长,要经常替换,而且输出的电压信号的信噪比很大等等。

3.霍尔元件位置传感器[7]

霍尔位置传感器和电动机本体一样,也是由静止部分和运动部分组成,即位置传感器定子和位置传感器转子。

其转子与电机主转子一同旋转,以指示电机主转子的位置,既可以直接利用电动机的永磁转子,也可以在转轴其他位置上另外安装永磁转子。

定子是由若干个霍尔元件,按一定的间隔,等距离地安装在传感器定子上,以检测电机转子的位置。

位置传感器的基本功能是在电动机的每一个电周期内,产生出所要求的开关状态数。

也就是说电动机传感器的永磁转子每转过一对磁极(N、S极)的转角,就要产生出与电机逻辑分配状态相对应的开关状态数,以完成电动机的一个换流全过程。

如果转子充磁的极对数越多,则在360°机械角度内完成该换流全过程的次数也就越多。

由前面所述的锁定型霍尔开关元件的原理可知,在一个电周期内,即转子的一对磁极转角内,当其感受N及S二个不同极性磁场的作用时,将呈现出“高电平”及“低电平”(或者相反)两个不同的状态,这两个不同的状态所占的电角度相等,各为180°。

把三个相互错开60°电角度的波形组合在一起,就可以看出究竟能产生多少开关状态。

从图11及表1可以看出,这种组合的霍尔位置传感器能产生六个不同的开关状态,且所占的电角度都相等,各为60°,这样的传感器能满足上述要求。

2.1.3无刷直流电动机的工作原理

无刷直流电动机是有电动机本体、转子位置传感器以及电子开关线路三大块构成的。

原理框图如图2.2:

 

图2.2无刷直流电动机原理框图

由图2.2中可知,由开关电路,直流电源供电给电动机定子绕组,位置传感器及时的检查转子所在的位置,将检测到的转子的位置信号来进一步的控制开关电路的开关管是导通还是截止状态,以便能够自动的区分有哪些相绕组通断,从而能够电子换向。

为了改善一般的直流电动机的定子上因为有电刷而带来的机械磨损,无刷直流电机大胆的去掉了电刷,并且将本在转子上绕组线圈装到了定子上去,本在定子上的永磁体装到了转子上去。

那么如果只给定子通入直流不便的电流的话,转子是不会转动的,为了是转子能够正常的转动,则需要改变定子上的直流电流,也就是说,要使定子上的各相绕组不断的交替换相通断,这样一来,定子就产生了一个不断变化的磁场,而且这个磁场能够人为控制,使其按照转子的位置的变化而变化,从而推动转子转动。

2.2无刷直流电动机的驱动

2.2.1三相无刷直流电动机全桥驱动的联结方式[10-12]

本系统中采用的无刷直流电机采用三相全控桥式Y联接,其导通方式可分为两两导通方式和三三导通方式两种。

下面重点介绍一下两两导通方式:

当检测到的磁极位置信号经过控制电路逻辑变换后驱动逆变器,使功率开关管Vl、V6导通,其余截止,即A、B两相绕组通电,电流方向为A进B出,电枢绕组在空间合成磁场Ba,方向如图所示电枢绕组合成磁场Ba与永磁转子磁场B:

相互作用产生转矩,使转子按顺时针方向旋转。

电流流通途径为:

电源正极--Vl管--A相绕组--B相绕组--V6管--电源负极。

此时当转子转过

电角度时,霍尔检测电路根据电机转子磁极的位置时输出信号发生变化,控制器根据位置检测信号的翻转进行换相控制,经逻辑变换后使开关管V6截止,V2导通,触发组合状态为Vl、V2导通,其余截止。

在此换相瞬间(V6管关断,V2管还未导通),绕组中的电流按Vl管--A相绕组--B相绕组--D3管--Vl管的通路进行续流,此时电枢绕组合成磁场Ba与永磁转子磁场Br夹角为

完成换相后,Vl、V2导通,绕组A、C通电,此时电枢磁场与永磁转子磁场相互作用使转子继续沿顺时针方向旋转,电流流通路径为:

电源正极--Vl管--A相绕组--C相绕组--V2管--电源负极,使电枢绕组合成磁场与永磁转子磁场夹角始终在

--

范围内变化,以此类推。

当电动机转子继续沿顺时针每转过

电角度时,功率开关管的导通逻辑为:

V2V3、V3V4、V4V5、V5V6、V6V1……,转子磁场始终受到定子合成磁场的作用并沿顺时针方向连续转动。

下面重点介绍一下两两通电方式。

两两通电方式是指任意瞬间均有两个功率管同时导通,每隔

电角度换相一次,每个功率管导通

电角度。

各功率管的导通顺序是V1V2、V2V3、V3V4、V4V5、V5V6、V6V1、V1V2……。

当功率管Vl和V2导通时,电流经过V1管流入A相绕组,然后再从C相绕组流出,最后经过V2管流回电源。

如果设定流入绕组的电流所产生的转矩T为正,那从绕组流出的电流所产生的转矩为负,大小为

T。

当电机转过

后,功率管V1和V2导通换成V2和V3导通。

现在电流流过V3流入B相绕组然后从C相绕组流出,然后经过V2管流回电源,其大小也是

T。

但方向转过了

的电角度。

当每次有一个功率管换相,合成转矩的方向就转过

的电角度,可是大小始终保持

T不变。

2.2.2无刷直流电动机的PWM调速原理

PWM(PulseWidthModulation)控制即脉冲宽度调制技术,顾名思义,它的原理是通过对脉冲的宽度进行调制用,来等效地获得所需要波形,波形包括形状和幅值两者。

采样理论中有一个非常重要的定理即冲量相等而形状不同的窄脉冲加在具有惯性的环节上,其效果基本相同。

在这里,冲量指的是窄脉冲的面积,效果基本相同指的是环节的输出响应波形基本相同。

由上述定理,我们就可以用实际存在且容易实现的不同的脉冲波形来替代理想波形。

本课题利用的PWM波就是保持其宽度即周期不变的情况下,调节它的脉冲的占空比,从而可以调节定子绕组线圈当中的电压大小用以改变电枢磁场来控制转子的速度大小。

对于无刷直流电机的三相星形联结二二导通方式,它的PWM控制方式有5种:

PWM-ON方式、ON-PWM方式、H-PWM—L-ON方式、L-PWM—H-ON方式、H-PWM—L-PWM方式,在这里,重点介绍前三种方式。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 辩护词

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1