晶圆处理工程用语.doc

上传人:b****3 文档编号:2493429 上传时间:2022-10-30 格式:DOC 页数:95 大小:771KB
下载 相关 举报
晶圆处理工程用语.doc_第1页
第1页 / 共95页
晶圆处理工程用语.doc_第2页
第2页 / 共95页
晶圆处理工程用语.doc_第3页
第3页 / 共95页
晶圆处理工程用语.doc_第4页
第4页 / 共95页
晶圆处理工程用语.doc_第5页
第5页 / 共95页
点击查看更多>>
下载资源
资源描述

晶圆处理工程用语.doc

《晶圆处理工程用语.doc》由会员分享,可在线阅读,更多相关《晶圆处理工程用语.doc(95页珍藏版)》请在冰豆网上搜索。

晶圆处理工程用语.doc

晶圆处理工程用语

D.1 基本、共同用语

编号

用语(英文/中文)

用语说明

D1001

unloader

卸载机、卸货机

是将被加工对象(work)从所定位置取出之机构

D1002

Indexer

指针器,索引器

是指发送机(sender)与接收机(receiver)之总称。

在处理之前后,亦有使用同一匣盒使遮光罩(mask),晶圆等基板收纳位置不会变化之单面匣式(uni-cassette)方式。

D1003

Waferautomatictransfersystem

晶圆自动传送系统

是指将晶圆每次一片或每次多片,从匣盒自动转移至各处理装置之装置。

此一装置是由匣盒载物台(cassettestage)、晶圆搬运机器人,以及该接口所构成,大都与匣盒搬运机械人搭配使用。

D1004

Waferhoist

晶圆交接升降装置

系指有关晶圆输送机构之晶圆交接升降机构。

大都与附属在输送机构先端之晶圆承接臂成对搭配使用,位在交接之制程位置,由晶圆承接部分与驱动该部分之上下机构所组成。

D1005

Waferholder

晶圆保持器

系指有关形成薄膜之半导体制造装置,在各种处理或晶圆输送时,用来保持晶圆之装置部分。

D1006

X-Ystage/X-Ytable

从横移动载物台/从横移动载物盘

是指可将被加工对象(work)加以从横方向移动,且可决定其精确位置之机构。

D1007

materialsafetydatasheet

材料安全数据清单

MSDS

是指记录化学物质之物性、毒性、可燃性,反应性及处理方法之安全性数据清单。

为确保使用瓦斯或药品处理装置在操作时之安全为目的,通常与使用说明书等附加在一起。

D1008

Orientationflatarrangeequipment

晶圆定向平面摆齐装置

是指将匣盒内晶圆之定向平面加以摆齐在一个方向之装置。

为要检查晶圆转移传送是否确实,或为要使晶圆在各处理装置内之定向决定,能顺利所使用之装置。

D1009

cassette/magazine

晶圆匣盒/晶圆收纳盒

是指将晶圆被加工对象整齐加以收纳之装置。

为使晶圆加工对象在各制程上能容易进行搭载及卸在载为目的,所使用之匣盒。

类同之用语有magazine一语。

D1010

cassette-to-cassettehandling

匣盒间转运处理

系指从供给侧晶圆匣盒,将晶圆每次一片自动加以取出,输送至处理室处理后,将晶圆逐片收纳在收纳侧晶圆匣盒之处理方式。

D1011

Availability

利用度,利用率

系指针对计划运输时间,实际可正常运输时间之比率。

D1012

Substrate

基板,基片

是指成为处理对象之空白遮光罩(maskblank),晶圆等材料总称.

编号

用语(英文/中文)

用语说明

D1013

Carrierbox

运载盒

指为要输送或保管晶圆之容器.在制造过程上务必保持晶圆不至受到容器排放瓦斯之污染,输送盒材质之鉴定至为重要.目前,输送盒以使用聚丙稀(polypropylene)树脂及聚碳酸脂(polycarbonate)的树脂为主.

D1014

Clustertool

组合设备公具

指将不同装置厂家之设备或不同制程之结合,或能将半导体装置制造商独特之制造模块,加以装配之多加工室(multichamber)制造装置。

是以美国半导体制造装置厂家为中心之团体MESA(ModularEquipmentStandardArchitecture)所提倡者。

D1015

Pre-purge

是指要使用热处理炉、反应室或瓦斯配管系统之前,将纯性瓦斯引进加以净化之操作。

D1016

Costofownership

是将半导体制造相关设备之投资,或将营运之经济性评价基准,以经营位皆加以模型化者。

将制造装置之寿命周期成本(lifecyclecost),以装置价格、生产性、可靠性及成品率等加以考量,而算出每一晶圆良品成本之方法。

D1017

Magneticcoupledfeedthrough

磁耦合旋转馈通

是指利用N极S极之磁性结合力,将外旋转驱动力传达到真空气氛内之旋转机构。

是一种非接触旋转,因多半在真空与大气间隔着一道墙壁之构造,其真空密封寿命为无限大,对超高真空性能之维持很有效。

D1018

Magneticlevitationtransfer

磁悬浮输送

是指利用磁性反斥力之非接触性输送机构。

是由控制磁悬浮之控制电磁铁、线性马达及悬浮体等所构成,例如遮光罩或晶圆等之基片搭载在悬浮体上来移动。

在真空中使用时,因属非接触,无振动、无润滑油及全然不产生灰尘,具有可获得洁净真空等大特点。

D1019

Robotforusinginvacuum

真空机械人

是指在真空室内,为要移送基板单体所使用输送机构之总称。

为防止例如遮光罩,晶圆等基板受到微粒之污染,采用振动部极低之机构。

就其功能而言,一般具有直进、旋转、上下移动等功能。

D1020

Throughput

生产量,工作数

是单位时间内所能处理之遮光罩或晶圆等基板之工作数量。

D1021

Slowvent

缓慢通气

是指将真空装置之真空槽,恢复到大气压之过程中,经由调节电导阀,可以很小之导入速度缓慢加以通气。

其目的在于防止微粒飞扬。

Softvent

软性通气

编号

用语(英文/中文)

用语说明

D1022

Slowpumping/slowroughing

缓慢排气

是指将真空装置从大气压开始真空排气过程中,经由调节电导阀以很小之排气速度缓慢加以排气。

其目的在于防止微粒飞扬。

类似之用语有软性排气(softroughing)。

Softroughmg

软性排气

D1023

Electrostaticchuck

静电夹头,静电夹盘

是在载物台上设立介电层,对载物台与晶圆间施加电压,经由发生在两者间之库伦力,将晶圆加以吸住之机构。

为要保持晶圆及温度控制,可以在载物台或输送系统等。

D1024

Sender

发送机

是指将收纳处理前之遮光罩或晶圆等基板之匣盒,加以搭载并将基板输送至处理装置之机构。

D1025

Soaktime

热炼时间

系指将退火装置或真空蒸镀装置之加热对象物,以不致蒸发之温度加以维持之时间。

就退火而言,指维持所希望时间,就真空蒸镀法而言,指预备加热温升排气之时间。

D1026

Softlanding

软性着陆

旨在横型之热氧化装置,热扩散装置及热CVD装置,将搭载晶元之晶舟,输入或输出制成反应管之际,不至于接触管内壁,具有可抑制产生微粒功能之搬运装置。

D1027

Turbomolecularpump

涡轮式分子泵

指具有汽涡轮机形之叶片,经由高速旋转之转子,将与其叶片表面碰撞气体分子给与运动量,以输送气体之运动量输送式真空泵。

可在分子流领域有动作。

D1028

Dummywafer

仿真晶元,虚设晶圆

指当装置在试运转中,分批处理晶圆时为要凑齐片数,或为承载效应等对策所使用,指实际没有形成IC图案的晶圆.

D1029

Chip?

die

芯片/小芯片

指将用来制作无源(被动)组件、有源(主动)组件,或被制成集成电路为前提之半导体或绝缘物细片。

有时亦可称为(片状器件)。

请参阅cf.JIS.请参阅图E-1002.

D1030

Turn-around-time

一贯制程所需时间

指将工件之完成产品所需要之时间。

如何将产品提早完成,Q-TAT(QUICKTAT)

D1031

Dryvacuumpump

干式真空泵

指作为涡轮式分子泵或低温泵(cryopump)(oilfree)指出加工,系瓦斯通路不会混入油分之不沾油泵。

通常可从大气压减压至10-3Pa

D1032

Batchprocessing

分批处理

系指每次可见多数片晶圆加以处理之方式。

D1033

Buffer

缓衡容器

系指可在装置内暂时收纳遮光罩或晶圆等基板之单元。

通常可分为使用载运闸盒,或使用专用治具者。

基板之进出有先进先出(FIFO),有后进先出(LIFO)之2种方式。

D1034

Footprint

脚印

系指将装置设置在平面时,从正上方加以投影之总设置面积。

编号

用语(英文/中文)

用语说明

D1035

Processinducedparticlecounter

制程感应粒子计数器

系指具有严格之试料气体防漏机构,将试料流通路内鼻子残留杂质彻底加以除去之光散射式粒子计数器。

系用来监视半导体组件制造原料瓦斯,CVD或注入粒子装置等减压槽中之浮游粒子数。

D1036

Beltlesstransfersystem

无带式输送系统

是指将遮光罩或晶圆等基板背面,以诸如真空机械人或磁浮等非用直接输送带之基板输送机构之总称。

以采用橡皮输送带或金属性弹性带之输送,无法防止来自输送带材质之污染,因此今后均以无带式输送主流。

D1037

Singlewaferprocessing

单晶圆处理方式

是指将晶圆一片一片加以处理之方式。

D1038

Multi-chambervacuumsystem

多式真空系统

是指关于布线工程、薄膜形成工程等,经由将各个不同制程适当加以搭配在一起,且在一贯之气氛下加以处理,为提升制程之总功能为目的,所构成之多室真空装置。

此一真空装置有以输送室为中心,在其周围将制程室配制成放射状型。

以及以输送室为中央,而将制程室配置在两侧之线形型等两种。

D1039

Mechanicalchuck

机械式夹头

是指利用机械爪具或环形吸盘等,将晶圆外周部加以机械式保持、安装之机构。

D1040

Receiver

接受匣盒

是指搭载处理后之遮光罩,晶圆等基板之收纳匣盒,及将基板由处理装置取出之机构。

D1041

Recipe

处理程序

是指为要进行晶圆制程之处理控制,对制程装置之制程次序,及控制参数(温度,压力,瓦斯之种类及流量,时间等控制目标值)等相关装置个别之处理程序。

D1042

Loader

装载机、装料机

指将加工对象(work)放置与所定位置或安装之机构。

D1043

load-lockchamber

加载互琐真空室

是不得将处理室暴露于大气中,可进行晶圆之装入与取出为目的之真空室。

在处理室之前后或任一方配置一个阀,经由阀与真空排气系统动作之搭配,可以经常保持处理室在真空状态。

D1044

rapidthermalprocess

快速热处理

是有关热处理,为提升产量(throughput)等目的,将温度作快速上升或下降等操作或制程。

D1045

in-situ

就地,在现场,自然(环境)

以往都将起当作另外制程进行之处理,却将其编入其它制程内,诸如:

in-situcleaning,in-situdoping,及in-situmonitoring可分别当作就地清洁,自然(环境)掺杂,及现场监视等使用。

D1046

Opencassette

开放式晶圆匣

是属于可收纳晶圆而在装置间搬运之容器,由可支持晶圆之部位,与搬运时将容器本体加以把持之部位,以及由此等支持体所组成,其晶圆收纳部成为开放状态之晶圆搬运容器。

编号

用语(英文/中文)

用语说明

D1047

Kinematiccoupling

运动举上之耦合

在载物台上配置有位于三角形顶点之三个凸状,且具有3次元曲面之突出头,在各个突出头套上设在被载物体之3个颠倒V字形之嵌合罩,是用来进行位置决

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 工作计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1