片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx

上传人:b****1 文档编号:2066582 上传时间:2022-10-26 格式:DOCX 页数:27 大小:923.08KB
下载 相关 举报
片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx_第1页
第1页 / 共27页
片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx_第2页
第2页 / 共27页
片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx_第3页
第3页 / 共27页
片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx_第4页
第4页 / 共27页
片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx_第5页
第5页 / 共27页
点击查看更多>>
下载资源
资源描述

片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx

《片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx》由会员分享,可在线阅读,更多相关《片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx(27页珍藏版)》请在冰豆网上搜索。

片上电子信息系统基于EDA的FPGA串口通信及串口中断.docx

片上电子信息系统基于EDA的FPGA串口通信及串口中断

片上电子信息系统课程设计指导书

一、课程设计目的和要求

设计一个片上电子信息系统,培养工程实践创新能力。

在相关课程知识的拓宽、提高和综合应用的基础,强化培养理论联系实际能力、系统设计能力、实际动手能力。

二、课程设计方式

学生在教师指导下按照设计题目和任务,认真分析、查找资料,按照各阶段基本要求,按时完成各项内容。

十四周:

星期二、三下午3:

00。

星期四、五下午9:

00

十五周:

暂定上午

三、课程设计任务

任务一

在开发板FPGA内部实现一个Microblaze软核,通过对其外设GPIO编程使开发板上的6盏LED灯闪烁。

头文件

#include""

#include""

参考函数

1.XGpio_mSetDataDirection(BaseAddress,Channel,DirectionMask)

此函数用于设置GPIO的方向。

参数说明:

BaseAddress:

GPIO的基地址,可从头文件获得,下同。

Channel:

GPIO通道(1或者2)选择,针对本实验,此参数固定为1,下同。

DirectionMask:

GPIO输入输出选择,1选择输入,0选择输出。

无返回值

2.XGpio_mSetDataReg(BaseAddress,Channel,Data)

此函数用于往GPIO置数,GPIO会输出用户设置的数据。

参数说明:

BaseAddress:

GPIO的基地址

Channel:

GPIO通道(1或者2)选择

Data:

写入寄存器的数据

无返回值

任务二

PC机通过串口RS232控制6盏LED灯,如果上位机发送‘1’(char),则LED亮,并且FPGA通过串口给PC机发送字符串“LEDON!

”,否则LED灭,FPGA通过串口向PC机发送字符串“LEDOFF!

”。

1’0’df和opb_intc参考.pdf,其中opb_intc是microblaze中管理中断的外设。

四、课程设计的步骤和进度

时间和进度

内容

地点和实验室开放时间

参加人员和验收方式

第十四周周一

接受课设任务

实验室

9:

00-10:

00全体学生听课,接受课设任务。

第十四周

1.设计并实现任务一和任务二2.验收

实验室8:

00-17:

00

周五9:

00-12:

00在实验室验收任务一和任务二。

第十五周

1.设计并实现任务三和任务四(选作)2.撰写课程设计报告3.答辩

实验室8:

00-17:

00

周五9:

00-12:

00在实验室验收任务三和任务四并答辩。

1.任一检查环节不合格者不得继续进行课程设计,待成绩合格后方可进入后续环节。

2.无故缺席任一检查环节者总成绩不合格。

五、课程设计的考核

编号

内容

评分

1

出勤

10

2

实际完成情况

30

3

设计报告

30

4

答辩

30

5

选作部分

加分

六、附录

V5FPGA试验系统有两个串口,都位于端口J6,建议使用J6下面的接口。

其信号的FPGA管脚定义为:

Netfpga_0_RS232_RX_pinLOC=N8;

Netfpga_0_RS232_TX_pinLOC=R8;

 

课程设计报告

 

课程名称___片上电子信息系统___

指导教师__那云虓_王晓飞_____

 

系别____光电学院_____

专业电子信息工程____

学生姓名_________

班级/学号_

成绩___________________

1.课程设计概述3

课程设计目的和要求3

课程设计方式3

2.课程设计任务4

任务一4

2.1.1实验目的4

2.1.1实验内容4

2.1.3实验步骤及程序4

任务二16

2.2.1实验目的16

2.2.1实验内容16

2.2.3实验步骤及程序17

任务三20

2.3.1实验目的20

2.3.1实验内容20

2.3.3实验步骤及程序20

任务四25

2.4.1实验目的25

2.4.1实验内容25

2.4.3实验步骤及程序25

3.课程设计总结34

1.

课程设计概述

1.1课程设计目的和要求

设计一个片上电子信息系统,培养工程实践创新能力。

在相关课程知识的拓宽、提高和综合应用的基础,强化培养理论联系实际能力、系统设计能力、实际动手能力。

1.2课程设计方式

学生在教师指导下按照设计题目和任务,认真分析、查找资料,按照各阶段基本要求,按时完成各项内容。

 

2.课程设计任务

2.1任务一

2.1.1实验目的:

在开发板FPGA内部实现一个Microblaze软核,通过对其外设GPIO编程使开发板上的6盏LED灯闪烁。

2.1.2实验内容:

建立一个包含下列IP的处理器系统:

1、MicroBlaze

2、LMBBRAMcontrollersforBRAM

3、BRAM

4、PLBbus

5、MDM(MicroBlazeDebugModule)

6、UART

7、GPIO(LEDs)

8、Timer,为系统定时器

2.1.3实验步骤:

1、编写硬件环境

1、双击XilinxPlatformStudio图标启动XPS

2、选择BaseSystemBuilderwizard

然后指定项目目录和项目文件名字。

3、点击OK进入欢迎界面,如下图选择。

随后进入SelectBoard对话框,选择Iwouldliketocreateasystemforacustomboard

4、点击Next进入SelectionProcessor对话框

选择virtex5、xc5vlx50t、ff1136、-1,选择MicroBlaze作为处理器。

 

5、点击Next进入ConfigureMicroBlazeProcessor对话框

如下选择

∙ProcessorClockFrequency:

20MHz

∙Processor-BusClockFrequency:

20MHz

∙DebugInterface:

On-chipH/Wdebugmodule

∙LocalDataandInstructionMemory:

16KB

∙ResetPolarity:

activelow

∙CacheEnabled:

unchecked

进入ConfigureIOInterface,添加URAT(RS232),GPIO(LEDs)两个外部设备。

6、如下图配置外围。

 

7、点击Next进入AddInternalPeripheral对话框

8、直接点击Next进入SoftwareSetup对话框

标准输入和输出设备都选择RS232,Memorytest和Peripheralselftest都不必选。

 

9、点击Next进入SystemCreated对话框

 

10、点击Generate进入congratulations界面,指出BSB产生的文件,点击Finish完成项目的建立。

11、点击BusInterfacesTAB,双击xps_timer_0进入配置IP对话框,在UserTab中勾选OnlyOneTimerispresent。

图2-8配置定时器

 

配置定时器的地址,过程同SW。

配置完成后地址应无冲突。

图2-9配置地址

2、UCFFile管脚分配定义和.c文件的编写

UCFFile管脚分配如下:

############################################################################

##ThisfileisgeneratedbyBaseSystemBuilderbasedonthe

##settingsintheselectedXilinxBoardDefinitionfile.Pleaseaddother

##userconstraintstothisfilebasedoncustomerdesignspecifications.

############################################################################

#Netsys_clk_pinLOC=;

#Netsys_rst_pinLOC=;

##Systemlevelconstraints

Netsys_clk_pinTNM_NET=sys_clk_pin;

TIMESPECTS_sys_clk_pin=PERIODsys_clk_pin50000ps;

Netsys_rst_pinTIG;

##IODevicesconstraints

####ModuleRS232constraints

#Netfpga_0_RS232_RX_pinLOC=;

#Netfpga_0_RS232_TX_pinLOC=;

####ModuleLEDSconstraints

#Netfpga_0_LEDS_GPIO_d_out_pin<0>LOC=;

#Netfpga_0_LEDS_GPIO_d_out_pin<1>LOC=;

#Netfpga_0_LEDS_GPIO_d_out_pin<2>LOC=;

#Netfpga_0_LEDS_GPIO_d_out_pin<3>LOC=;

#Netfpga_0_LEDS_GPIO_d_out_pin<4>LOC=;

#Netfpga_0_LEDS_GPIO_d_out_pin<5>LOC=;

Netsys_clk_pinLOC=H17;

Netsys_rst_pinLOC=AD4;

##Systemlevelconstraints

Netsys_clk_pinTNM_NET=sys_clk_pin;

TIMESPECTS_sys_clk_pin=PERIODsys_clk_pin50000ps;

Netsys_rst_pinTIG;

##IODevicesconstraints

####ModuleRS232constraints

Netfpga_0_RS232_RX_pinLOC=N8;

Netfpga_0_RS232_TX_pinLOC=R8;

####ModuleLEDSconstraints

Netfpga_0_LEDS_GPIO_d_out_pin<0>LOC=AG6;

Netfpga_0_LEDS_GPIO_d_out_pin<1>LOC=AG5;

Netfpga_0_LEDS_GPIO_d_out_pin<2>LOC=AF5;

Netfpga_0_LEDS_GPIO_d_out_pin<3>LOC=AF6;

Netfpga_0_LEDS_GPIO_d_out_pin<4>LOC=AE7;

Net

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 数学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1