完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx

上传人:b****5 文档编号:20356392 上传时间:2023-01-22 格式:DOCX 页数:21 大小:104.53KB
下载 相关 举报
完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx_第1页
第1页 / 共21页
完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx_第2页
第2页 / 共21页
完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx_第3页
第3页 / 共21页
完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx_第4页
第4页 / 共21页
完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx

《完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx》由会员分享,可在线阅读,更多相关《完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx(21页珍藏版)》请在冰豆网上搜索。

完整版基于FPGA的FIR数字滤波器设计1毕业设计论文Word下载.docx

以往的模拟滤波器无法克服电压漂移、温度漂移和噪声等问题,从而带来了许多误差和不稳定因素。

而数字滤波器具有稳定性高、精度高、设计灵活、实现方便等突出优点。

FPGA元器件在高速并行处理和数据传输中有独特优势,FPGA正在前端信号处理中越来越多地代替ASIC和DSP。

我们需要的就是这种设计周期短,功能密度高,重组时间短的元器件。

本文在FPGA元器件的基础上,实现现代FIR数字滤波器功能。

并且研究多种快速的FIR数字滤波器的理论设计思想和程序设计方法。

1.2国内外研究现状分析

1985年XilinX公司生产出了第一块FPGA元器件,由于它有着集成度高、方便易用、开发和上市周期短的绝对优势,使得FPGA器件在数字设计和电子生产中得到迅速普及和应用,发展潜力十分巨大。

现在FPGA已经发展到可以利用硬件乘加器、片内储存器、逻辑单元、流水处理技术等特有的硬件结构,高速完成FFT、FIR、复数乘加、卷积、三角函数以及矩阵运算等数字信号处理。

这样可以完成信号处理的主要技术,如中频采样、参数估计、自适应滤波、脉冲压缩、自适应波束形成和旁瓣对消等。

1.3研究思路

通过对目前数字滤波器的几种实现方法的简单分析,本文认为基于FPGA的数字滤波器具有许多优点,本文考虑到信息技术的发展对于数字滤波器的要求越来越高,而目前FIR数字滤波器的性能还不完善,于是选择了基于FPGA的数字滤波器作为主要研究内容,通常滤波器在进行数据处理时用到了卷积运算,在设计中的解决这些乘法运算的思路是将它们转换成加减法,这是目前解决乘法运算的主流思想。

设计初期在Matlab下对滤波器原理进行证明包括(零极点图、时域和频域分析图等),分析FIR数字滤波器的多种理论设计思想和窗函数选择方法,在设计后期对FIR数字滤波器的小数乘法问题进行单独论证。

然后对分析出来的问题进行论证和解决,最后在QUARTUSⅡ中进行仿真验证。

1.4相关概念说明

数字滤波器(Digitalfilter)是由数字乘法器、加法器和延时单元组成的一种装置。

其功能是对输入离散信号的数字代码进行运算处理,以达到改变信号频谱的目的。

可认为是一个离散时间系统按预定的算法,将输入离散时间信号转换为所要求的输出离散时间信号的特定功能装置FIR(FiniteImpulseResponse)由线性系统理论可知,在某种适度条件下,输入到线性系统的一个冲击完全可以表征系统。

当我们处理有限的离散数据时,线形系统的响应(包括对冲击的响应)也是有限的。

若线性系统仅是一个空间滤波器,则通过简单地观察它对冲击的响应,我们就可以完全确定该滤波器。

通过这种方式确定的滤波器称为有限冲击响应(FIR)滤波器。

图1-1总体设计流程图

2FIR数字滤波器的设计方法

2.1理论部分

2.1.1引言

数字滤波器的功能一般是用来变换时域或者频域中某些要求信号的属性,滤除信号中某一部分频率分量。

经过数字滤波器的信号是让其频谱与数字滤波器的频率响应相乘从而得出新的结果。

经过一个线性卷积过程,从时域上输入信号与滤波器的单位冲击响应作一个卷积和。

下面是卷积定义式:

(1)

LTI数字滤波器在一般情况下分为有限脉冲响应(Finiteimpulseresponse)和无限脉冲响应(Infiniteimpulseresponse),FIR数字滤波器的设计方法和IIR滤波器的设计方法有很大的差别。

因为其设计方向是选择有限长度的h(n),使频率响应函数H(e)满足指标。

数字滤波器正在用直接的电子计算机规范和算法进行分析的方式来逐渐代替传统的模拟滤波器的RLC元器件和放大电路。

2.1.2FIR数字滤波器的基础

首先介绍FIR数字滤波器基本原理,在如下表格中对FIR和IIR数字滤波器进行了全面的比较:

表2-1两种滤波器特点比较分析

FIR数字滤波器

IIR数字滤波器

设计

方法

在一般的情况下,FIR数字滤波器没有设计公式。

它的设计需要借助计算机程序完成

可以利用AF的设计成果,可简单、有效地完成设计

阶数

稳定性

在稳定性方面(稳定),极点全部在原点

存在一定的稳定性问题,需要注意

结构

非递归系统

递归系统

运算误差

一般情况下不存在反馈,运算的误差比较小

存在反馈,由于运算中的特殊运算方法会产生极限环

通常情况下一般数字滤波器的N阶FIR数字滤波器基于输入信号x(n)的表达式为:

(2)

这个公式给我们了一个非常明了的直接型网络结构,该结构表现出N个乘法器,每次采样y(n)的内容是n次乘法和n-1次加法,然后做乘累加之和。

如图2-1所示:

图2-1FIR滤波器直接型网络结构

从DSP的介绍中,第一类线性相位对h(n)的约束条件:

(3)

(4)

由公式(3),(4)我们可以推出:

(5)

移相并利用三角公式化简得到:

(6)

从数字信号处理学科中知道函数关于求和区间的中心(N-1)2奇对称,于是我们要求和h(n)满足如下条件:

其中对应的有(7)

图2-2线性相位FIR滤波器结构

若h(n)呈现对称特性,即此具有线性相位的滤波器是FIR数字滤波器。

滤波器的基础网络结构可以相互进行转换。

在前面本文已经讨论过,FPGA的实现中将对各种方法进行比较,找出最优设计方式。

从而达到减少资源占有和提高系统作业速度的目的,更好的体现实时性的数字滤波器优势。

2.1.3数字滤波器的设计原理

在数字信号处理技术的研究中,一般是使用的三种设计方法:

窗函数法,FDATool直接设计法,程序编译法。

本文首先使用窗函数和Matlab软件共同进行设计。

随着软件技术的不断发展,Matlab软件能给设计者带来的数字信号处理工作已经非常的完善和多样了,设计者可以利用Matlab软件进行数字滤波器的设计和仿真,而且还可以用这款软件进行设计的优化。

数字滤波器的一般设计步骤如下:

1.指标的确定

做任何工程或者设计,设计者都必须要有一个期望的指标用来限制设计范围。

在很多的实际应用中,设计者常常都是使用数字滤波器做选频的工作。

因此,指标的形式一半在频域中给出相位响应和幅度。

相位响应的指标形式,一半是指系统在通频带中药有线性相位。

幅度指标:

绝对指标,它给出对幅度响应函数的要求,一般用于FIR滤波器的设计。

相对指标,以分贝值的形式给出具体限制。

2.逼近目标

设计者做高频的时候大概都有一个模式,就是首先得到技术指标,然后利用我们的技术和工具让我们的产品去逼近这个指标。

同理我们首先建立以个目标的数字滤波器模型。

一般情况下都是采用理想的数字滤波器模型,然后去逼近我们想要的目标数字滤波器参数。

3.计算机仿真和性能优化分析

在工作中我们发现通过

(1)、

(2)之后本文会得到以差分、系统函数或者冲击响应这三种方式描述的滤波器。

这个时候设计者可以利用计算进行仿真,在系统中分析技术指标和滤波结果是否是希望得到的结果。

图2-3各种理想数字滤波器的幅度频率响应

2.1.4FIR数字滤波器的理论计算方式与参数转换思想

在理论上掌握了FIR数字滤波器的基本原理之后,本文需要对设计思想进行一个多方位的论证和尝试。

首先设计者设计滤波器要有一个硬性的指标,这个指标可以是直接给出最基本的数据,也有多重表现形式。

于是我例举两种特殊的指标形式然后加以解决设计。

然后我们分别用2种方式来设计不同指标的滤波器。

接下来我首先用程序的方式来实现滤波器:

1.逼近法转换与思想

使用remez函数设计FIR低通滤波器

设计滤波器,使逼近低通滤波特性||。

||=

要求通带波纹,阻带衰减,并用最小阶数实现。

绘出设计的FIR数字滤波幅频特性曲线,检验设计指标。

这个指标我们可以以如下计算方法来得出详细指标:

从给出的低通滤波特性||。

我们可以看出设计参数f=[14,516],m=[1,0];

dev的计算根据公式:

Rp=-20>

于是有Rp=20,

所以dev

(1),dev

(2)可以被表示出来。

2.逼近法程序描述与思想

有了这几个参数我们现在就可以根据已经设定好的格式来调用函数remezord和remez函数了,于是可得如下程序。

clear;

closeall

fc=14;

fs=516;

%输入给定指标

Rp=3;

As=60;

Fs=2;

f=[fc,fs];

m=[1,0];

%计算remezord函数所需参数f,m,dev

dev=[(10^(Rp20)-1)(10^(Rp20)+1),10^(-As20)];

[N,fo,mo,W]=remezord(f,m,dev,Fs);

%确定remez函数所需参数

=remez(N,fo,mo,W);

%调用remez函数进行设计

,512);

%求设计出的滤波器频率特性

w=[0:

511]*2512;

plot(w,20*log10(abs(=fir1(N-1,wc,Blackman(N));

%设计滤波器参数

6.理论计算方法总结

仿真完成之后,掌握如何去利用已有的指标去设计一个滤波器,总的来说就是四项:

通过傅里叶逆变换获得理想滤波器的单位脉冲响应hd(n)。

分析给定参数,计算出滤波器的阶数,频率等等相关指标。

把已经有的参数用程序函数表达出来,利用已经有的各种内置函数架设起滤波器。

参看Matlab的输出图形和参数是否满足要求。

2.1.5Matlab直接FDAtool设计方式解析

FDATool(FilterDesign&

AnalysisTool)是MATLAB信号处理工具箱里专用的滤波器设计分析工具,MATLAB6.0以上的版本还专门增加了滤波器设计工具箱(FilterDesignToolbox)。

FDATool可以设计几乎所有的基本的常规滤波器,包括FIR和IIR的各种设计方法。

它操作简单,方便灵活。

FDATool界面总共分两大部分,一部分是DesignFilter,在界面的下半部,用来设置滤波器的设计参数,另一部分则是特性区,在界面的上半部分,用来显示滤波器的各种特性。

DesignFilter部分主要分为:

FilterType(滤波器类型)选项,包括Lowpass(低通)、Highpass(高通)、Bandpass(带通)、Bandstop(带阻)和特殊的FIR滤波器。

DesignMethod(设计方法)选项,包括IIR滤波器的Butterworth(巴特沃思)法、ChebyshevTypeI(切比雪夫I型)法、ChebyshevTypeII(切比雪夫II型)法、Elliptic(椭圆滤波器)法和FIR滤波器的Equiripple法、Least-Squares(最小乘方)法、Window(窗函数)法。

FilterOrder(滤波器阶数)选项,定义滤波器的阶数,包括SpecifyOrder(指定阶数)和MinimumOrder(最小阶数)。

在SpecifyOrder中填入所要设计的滤波器的阶数(N阶滤波器,SpecifyOrder=N-1),如果选择MinimumOrder则MATLAB根据所选择的滤波器类型自动使用最小阶数。

FrenquencySpecifications选项,可以详细定义频带的各参数,包括采样频率Fs和频带的截止频率。

它的具体选项由FilterType选项和DesignMethod选项决定,例如Bandpass(带通)滤波器需要定义Fstop1(下阻带截止频率)、Fpass1(通带下限截止频率)、Fpass2(通带上限截止频率)、Fstop2(上阻带截止频率),而Lowpass(低通)滤波器只需要定义Fstop1、Fpass1。

采用窗函数设计滤波器时,由于过渡带是由窗函数的类型和阶数所决定的,所以只需要定义通带截止频率,而不必定义阻带参数。

MagnitudeSpecifications选项,可以定义幅值衰减的情况。

例如设计带通滤波器时,可以定义Wstop1(频率Fstop1处的幅值衰减)、Wpass(通带范围内的幅值衰减)、Wstop2(频率Fstop2处的幅值衰减)。

当采用窗函数设计时,通带截止频率处的幅值衰减固定为6db,所以不必定义。

参数要求:

采样频率fs=100Hz,通带下限截止频率fc1=10Hz,通带上限截止频率fc2=20Hz,过渡带宽6Hz,通阻带波动0.01,采用凯塞窗设计。

针对一个含有5Hz、15Hz和30Hz的混和正弦波信号已知滤波器的阶数n=38,beta=3.4。

本例中,首先在FilterType中选择Bandpass;

在DesignMethod选项中选择FIRWindow,接着在Window选项中选取Kaiser,Beta值为3.4;

指定FilterOrder项中的Specifyorder为38;

采样频率Fs=100Hz,截止频率Fc1=10Hz,Fc2=20Hz。

设置完以后点击窗口下方的DesignFilter,在窗口上方就会看到所设计滤波器的幅频响应,通过菜单选项Analysis还可以看到滤波器的相频响应、组延迟、脉冲响应、阶跃响应、零极点配置等。

设计完成后将结果保存为kaiser15.fda文件。

我们可以根据FDAtool工具得到我们的滤波器相关增益。

系数转换成二进制码:

若采用乘法器,用1位整数位,1位符号位,共22位定点二进制数进行运算,负数用补码表示,由此将减法运算变成累加求和运算。

各系数可用matlab编程转成二进制补码:

*

*FilterCoefficients(CSource)generatedbytheFilterDesignandAnalysisTool

*

*GeneratedbyMATLAB(R)7.0andthe

*Generatedon:

22-Mar-201120:

09:

12

*Discrete-TimeFIRFilter(real)

*

*FilterStructure:

Direct-FormFIR

*FilterOrder:

38

*Stable:

Yes

*LinearPhase:

Yes(Type1)

*GeneraltypeconversionforMATLABgeneratedC-code*

#include"

tmwtypes.\include\tmwtypes.tosecond-order-section)将传递函数转换为二阶级联形式。

对于是数字信号,需要对先前分析计算中分解获得的二阶子系统的滤波器系数进行量化,即用一个固定的字长加以表示。

量化过程中由于存在不同程度的量化误差,由此会导致滤波器的频率响应出现偏差,严重时会使滤波器的极点移到单位圆之外,使系统不稳定。

为了获得最优的滤波器系数,量化的精度也相当重要。

2.1.6FDAtool设计模板及设计结果图

这里把上面的滤波器设计参数的总体图给出,如图2-10

图2-10FIR带通滤波器总体设计参数

2.2程序分析部分

根据上述FIR低通数字滤波器的原理与滤波特性,我们在上面的软件实践中已经掌握了设计数字滤波器的方法并且成功的使用MatlabSimulink进行了设计和仿真。

通过以上的过程我们可以导出一定性能的FIR滤波器频率响应与抽头系数,然后用VerilogHDL语言设计和QUARTUSⅡ仿真FIR低通数字滤波器,实现用软件描述硬件的动作及功能,应用软件来实现数字滤波器的功能和时序仿真。

2.2.1FPGA可编程逻辑元件介绍

EDA是ElectronicDesignAutomation的缩写,意为电子设计自动化,即利用计算机自动完成电子系统的设计。

EDA技术是以计算机和微电子技术为先导,汇集了计算机图形学、拓扑、逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。

它与电子技术、微电子技术的发展密切相关,吸收了计算机领域的大多数最新研究成果,以高性能的计算机作为工作工具,在EDA软件平台土,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

可编程逻辑器PLD(ProgrammableLogicDevices)是ASIC(ApplicationSpecificIntegratedCircuits的一个重要分支。

ASIC按制造方法又可分为全定制(FullCustom)产品、半定制(semi-custom)产品和可编程逻辑器件(PLD)。

前两种ASIC的设计和制造都离不开器件生产厂家,用户主动性较差。

随着微电子技术的发展,设计师们更愿意自己设计专用集成电路芯片,并尽可能缩短设计周期,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,在使用中也能比较方便的对设计进行修改。

可编程逻辑器件就是为满足用户的这一需求应运而生的。

使用FPGA器件设计数字电路,不仅可以简化设计过程,而且可以降低整个系统的体积和成本,增加系统的可靠性。

它们无需花费传统意义下制造集成电路所需大量时间和精力,避免了投资风险,成为电子器件行业中发展最快的一族。

使用FPGA器件设计数字系统电路的主要优点如下:

1.设计灵活

使用FPGA器件,可不受标准系列器件在逻辑功能上的限制。

而且修改逻辑可在系统设计和使用过程的任一阶段中进行,并且只须通过对所用的FPGA器件进行重新编程即可完成,给系统设计提供了很大的灵活性。

2.增大功能密集度

功能密集度是指在给定的空间能集成的逻辑功能数量。

可编程逻辑芯片内的组件门数高,一片FPGA可代替几片、几十片乃至几百片中小规模的数字集成电路芯片。

用FPGA器件实现数字系统时用的芯片数量少,从而减少芯片的使用数目,减少印刷线路板面积和印刷线路板数目,最终导致系统规模的全面缩减。

3.提高可靠性

减少芯片和印刷板数目,不仅能缩小系统规模,而且它还极大的提高了系统的可靠性。

具有较高集成度的系统比用许多低集成度的标准组件设计的相同系统具有高得多的可靠性。

使用FPGA器件减少了实现系统所需要的芯片数目,在印刷线路板上的引线以及焊点数量也随之减少,所以系统的可靠性得以提高。

4.缩短设计周期

基于FPGA器件的可编程性和灵活性,用它来设计一个系统所需时间比传统方法大为缩短。

FPGA器件集成度高,使用时印刷线路板电路布局布线简单。

同时,在样机设计成功后,由于开发工具先进,自动化程度高,对其进行逻辑修改也十分简便迅速。

因此,使用FPGA器件可大大缩短系统的设计周期,加快产品投放市场的速度,提高产品的竞争能力。

5.工作速度快

FPGACPLD器件的工作速度快,一般可以达到几百兆赫兹,远远大于DPS器件。

同时,使用FPGA器件后实现系统所需要的电路级数又少,因而整个系统的工作速度会得到提高。

6.增加系统的保密性能

很多FPGA器件都具有加密功能,在系统中广泛的使用FPGA器件可以有效防止产品被他人非法仿制。

7.降低成本

使用FPGA器件实现数字系统设计时,如果仅从器件本身的价格考虑,有时还看不出来它的优势,但是影响系统成本的因素是多方面的,综合考虑,使用FPGA的成本优越性是很明显的。

首先,使用FPGA器件修改设计方便,设计周期缩短,使系统的研制开发费用降低;

其次,FPGA器件可使印刷线路板面积和需要的插件减少,从而使系统的制造费用降低;

再次,使用FPGA器件能使系统的可靠性提高,维修工作量减少,进而使系统的维修服务费用降低。

总之,使用FPGA器件进行系统设计能节约成本。

2.2.2QuartusⅡ及VerilogHDL介绍

QuartusII属于Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

  QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

  QuartusII支持Altera的IP核,包含了LPMMegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

  此外,QuartusII通过和DSPBuilder工具与MatlabSimulink相结合,可以方便地实现各种DSP应用系统;

支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

  MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。

Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。

  AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

VerilogHDL是目前应用

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 高等教育 > 研究生入学考试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1