数字电子专业技术基础第三版第三章答案Word格式文档下载.docx

上传人:b****6 文档编号:16549613 上传时间:2022-11-24 格式:DOCX 页数:26 大小:363.79KB
下载 相关 举报
数字电子专业技术基础第三版第三章答案Word格式文档下载.docx_第1页
第1页 / 共26页
数字电子专业技术基础第三版第三章答案Word格式文档下载.docx_第2页
第2页 / 共26页
数字电子专业技术基础第三版第三章答案Word格式文档下载.docx_第3页
第3页 / 共26页
数字电子专业技术基础第三版第三章答案Word格式文档下载.docx_第4页
第4页 / 共26页
数字电子专业技术基础第三版第三章答案Word格式文档下载.docx_第5页
第5页 / 共26页
点击查看更多>>
下载资源
资源描述

数字电子专业技术基础第三版第三章答案Word格式文档下载.docx

《数字电子专业技术基础第三版第三章答案Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《数字电子专业技术基础第三版第三章答案Word格式文档下载.docx(26页珍藏版)》请在冰豆网上搜索。

数字电子专业技术基础第三版第三章答案Word格式文档下载.docx

题型2为叙述基本概念与特点。

建议分配的分数为3~6分。

2.综合分析与设计

题型1为根据已知电路分析逻辑功能;

题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。

建议分配的分数为6~12分。

第二节思考题题解

题3.1简述组合逻辑电路的分析步骤和设计步骤。

答:

组合逻辑电路的分析是用逻辑函数来描述已知的电路,找出输入、输出间的关系,从而判断电路功能。

组合逻辑电路分析有以下几个步骤:

首先根据逻辑电路图写出逻辑函数表达式,然后利用代数法或图解法化简函数,列出真值表,最后根据真值表判断电路的逻辑功能。

组合逻辑电路的设计是根据实际逻辑问题,求出实现相应逻辑功能的最简单或者最合理的数字电路的过程。

逻辑电路的设计步骤如下:

首先分析设计要求,建立真值表,选择所用门的类型,将逻辑表达式化为最简形式,或者变换为最合理的表达式,最后画出逻辑图。

题3.2组合逻辑电路如思考题3.2图(a)所示。

(1)写出函数F的表达示。

(2)将函数F化为最简与或式,并用与非门实现之。

(3)若改用或非门实现,试写出相应的表达式。

 

解:

(1)根据题图3.3(a)已知电路,写出函数F的表达式如下:

F=

(2)将函数F化简为最简与或表达式,并用与非门实现。

F=

根据与非表达式画出用与非门实现的电路如思考题3.2图(b)所示。

(3)若改用或非门实现,首先写出相应的表达式。

画出

的卡诺图,得到

的与或式,从而求出F的与或非式,变换得到或非-或非式。

=

函数F的或非门电路如思考题3.2图(c)所示。

题3.3什么叫竞争-冒险现象?

当门电路的两个输入端同时向相反的逻辑状态转换(即一个从0变成1,另一个从1变成0)时,输出是否一定有干扰脉冲产生?

竞争指的是一个门电路多个输入信号同时跳变,或者一个信号经过不同路径传到同一个门电路的输入端导致信号到达时间不同的现象。

冒险指的是由于竞争可能在电路输出端产生的毛刺现象。

当门电路的两个输入端同时向相反的逻辑状态转换时,输出不一定有干扰脉冲产生。

3.4简述VHDL的主要优点。

VHDL的覆盖面广,描述能力强,是一个多层次的硬件描述语言,VHDL已成为IEEE承认的一个工业标准,是一种通用的硬件描述语言。

VHDL有良好的可读性,可以被计算机接受,也容易被读者理解,VHDL源文件既是程序又是技术人员之间交换信息的文件,也可作为合同签约者之间的文件;

VHDL的生命周期长,因为VHDL硬件描述与工艺无关;

VHDL支持大规模设计的分解和已有设计的再利用。

题3.5一个VHDL设计是否必须有一个结构体?

结构体的目的是什么?

一个设计可以有多个结构体吗?

VHDL设计中必须有结构体。

结构体描述实体硬件的互连关系、数据的传输和变换以及动态行为。

一个实体可以对应多个结构体,每个结构体可以代表该硬件某方面的特性。

例如用一个结构体表示某硬件的行为特性,用另一结构体表示该硬件的结构特性。

题3.6端口模式IN和INOUT有什么不同?

端口模式表示电路的数据流向。

端口模式IN表示只能向端口写入数据,而端口模式INOUT表示既可以向端口写入数据,又可以从端口读出数据。

题3.7编码器的逻辑功能是什么?

优先编码器与一般编码器有何区别?

编码器可以将一组相互独立的信号进行编码,形成一组相互关联的信号,以达到减少信号个数、增强信号表达能力的目的。

一般编码器只允许一个信号为有效,而优先编码器允许同时有多个信号有效,但只识别优先级最高的信号。

题3.8要区别24个不同信号,或者说给24个输入信号编码,需要几位二进制代码?

电路有多少个输出?

如果区别64个信号有将如何?

若要区别24个不同信号,至少要用5位二进制代码,因此电路有5个输出。

若区分64个信号至少用6位二进制代码,因此电路有6个输出。

题3.9什么叫译码器?

有哪些常用译码器?

各有何特点?

将具有特定含义的不同的二进制代码辨别出来,翻译成为对应输出信号的电路就是译码器。

常用的译码器有变量译码器和数字显示译码器。

对于译码器每一组输入编码,在若干个输出中仅有一个输出端为有效电平,其余输出皆处于无效电平,这类译码器称为变量译码器。

常用的有2-4线译码器、3-8线译码器、4-10线8421BCD译码器等。

在数字电路中,需要将数字量的代码经过译码,送到数字显示器显示。

能把数字量翻译成数字显示器能识别的译码器称为数字显示译码器,常用的有七段显示译码器。

题3.10数据选择器和数据分配器各具有什么功能?

若想将一组并行输入的数据转换成串行输出,应采用哪种电路?

数据选择器根据控制信号的不同,在多个输入信号中选择其中一个信号输出。

数据分配器则通过控制信号将一个输入信号分配给多个输出信号中的一个。

若要将并行信号变成串行信号应采用数据选择器。

题3.11一个有使能端的译码器能否用作数据分配器?

怎样接线可以使一个八路输出的数据分配器连接成一个3线-8线译码器?

带使能端的译码器能用作数据分配器。

以74138译码器芯片为例,将其连接成数据分配器如思考题3.11图(a)所示。

可以用八路输出的数据分配器连接成3线-8线译码器,连接电路如思考题3.11图(b)所示。

第三节习题题解

习题3.1组合电路的逻辑框图如习题3.1图(a)所示。

电路要求如下:

(1)当变量A1A0表示的二进制数≥B1B0表示的二进制数时,函数F1=1,否则为0。

(2)当变量A1A0的逻辑与非

和变量B1B0的逻辑异或

相等时,函数F2为高电平,否则为0。

试设计此组合电路。

(1)根据题意确定输入变量为A1A0B1B0,输出变量为F1F2,如习题3.1图(a)。

(2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.1表所示。

习题3.1表真值表

输入

输出

A1A0B1B0

F1F2

0000

1

00

0001

01

0010

0011

0100

10

0101

0110

0111

1000

1001

11

1010

1011

1100

1101

1110

1111

(3)由真值表,作函数卡诺图如习题3.1图(b)所示。

卡诺图化简函数,得到最简与或式:

变换F2的表达式

(4)由逻辑表达式画出逻辑图如习题3.1图(c)所示。

习题3.2用与非门设计四变量的多数表决电路。

设输出为F,当输入变量A、B、C、D有3个或3个以上为1时输出为1,输入为其它状态时输出为0。

(1)根据题意确定输入变量为ABCD,设输出变量F。

(2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.2表所示。

习题3.2表真值表

ABCD

F

(3)由真值表,作函数卡诺图如习题3.2图(a)所示

卡诺图化简函数,得到最简与或式,经函数变换求与非-与非式:

F=ABC+ABD+ACD+BCD

(4)由与非-与非表达式画出逻辑图如习题3.2(b)图所示。

习题3.3一个组合逻辑电路有两个控制信号C1和C2,要求:

(1)C1C2=00时,

(2)C1C2=01时,

(3)C1C2=10时,

(4)C1C2=11时,

试设计符合上述要求的逻辑电路(器件不限)。

题目中要求控制信号对不同功能进行选择,故选用数据选择器实现,分析设计要求,得到逻辑表达式:

4选1数据选择器的逻辑表达式:

对照上述两个表达式,得出数据选择器的连接方式为:

A0=C1,A1=C2,

根据数据选择器的连接方程,得到电路如习题3.3图所示。

MUX

习题3.4试设计一个具有两种功能的

码制转换电路,并画出电路图。

K为控制

变量。

K=0时,输入C、B、A为二进制

码,输出F3F2F1为循环码。

K=1时,输

入C、B、A为循环码,输出F3F2F1为二

进制码。

写出输出函数的逻辑表达式。

(1)根据题意定义输入变量为

KCBA,输出变量F3F2F1。

(2)根据题目对输入、输出变量提出

的要求,列写真值表如习题3.4表所示。

习题3.4表真值表

KCBA

F3F2F1

000

001

011

010

110

111

101

100

(3)由真值表,作函数卡诺图如习题3.4图(a)所示。

经卡诺图化简后,得到最简与或式:

(4)由逻辑表达式画出逻辑图如习题3.4图(b)所示。

习题3.5试设计一个5211BCD码的判决电路。

当输入代码D、C、B、A中有奇数个1时,电路的输出F为1,否则为0。

试用与非门实现该电路,写出输出函数F的与非-与非表达式。

(1)根据题意确定输入变量为DCBA,输出变量为F。

(2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.5表所示。

习题3.5表真值表

DCBA

×

(3)由真值表,作函数卡诺图如习题3.5图(a)所示。

卡诺图化简函数,得到最简与或式,变换函数得到与非-与非式:

(4)由与非-与非式画出逻辑图如习题3.5图(b)所示。

习题3.7习题3.7图(a)和(b)电路有无竞争冒险现象?

若有,请说明出现冒险的输入条件,并修改设计。

画出无冒险的逻辑图。

(1)分析习题3.7图(a)所示电路,得到逻辑表达式为:

,若输入信号A=B=1,则有F=

,因此电路有竞争冒险。

增加冗余项后的逻辑表达式为:

,修改后的逻辑图如图习题3.7图(c)所示。

(2)分析习题3.7图(b)所示电路,其表达式为:

若输入信号ACD=011,则有F=

,电路有竞争冒险。

若输入信号BCD=010,则有F=

,修改后的逻辑图如图习题3.7图(d)所示。

习题3.14试设计一个将8421BCD码转换成余3码的电路。

(1)用与非门实现。

(2)用或非门实现。

(3)用译码器74LS138实现。

(4)用数据选择器74LS153实现。

(1)用与非门实现

设输入变量为A3A2A1A0,输出变量为B3B2B1B0。

根据设计要求,列写真值表如习题3.14表所示。

用卡诺图化简后得到与或表达式,经过方程变换得到与非-与非式,用与非门实现的逻辑图略。

求解过程如下:

习题3.14表真值表

A3A2A1A0

B3B2B1B0

×

(2)用或非门实现

利用卡诺图包围0,求函数的最简或与式,再变换为或非表达式,画出用或非门实现的逻辑图略。

(3)用译码器74LS138实现

由于设计函数是四变量函数,根据译码器实现逻辑函数的基本原理,首先用两片74LS138扩展为4-16线译码器,低有效输出。

由习题3.14表真值表列写函数的最小项表达式,附加与非门,画出逻辑图如习题图3.14(a)所示。

(4)用数据选择器74LS153实现

74LS153是双4选1数据选择器,分析解题

(1)中的最简与或式,利用对照法,用一片74LS153

实现函数B1和B0;

再选择一片74LS153连接成8选1数据选择器,利用对照法,确定数据选择器的连接,实现函数B3;

参考以上求解方法,实现函数B2。

74LS153数据选择器输出:

函数B1和B0逻辑式为:

利用对照法,令D10=1,D11=0,D12=0,D13=1,则F1=B1;

令D20=1,D21=0,D22=1,D23=0,则F2=B0。

74LS153连接成8选1数据选择器的输出:

74LS153的扩展电路如图习题3.14(b)所示。

变换函数B3和B2的逻辑式:

利用对照法,令D0=D1=D2=D3=D4=A3,D5=D6=D7=1,则F=B3。

选择另一8选1数据选择器,利用对照法,令D0=D5=D6=D7=0,D1=D2=D3=D4=1,,则F=B2。

用74LS153数据选择器实现的电路如习题图3.14(c)所示。

习题3.17分别用4选1集成电路74153和

8选1集成电路74151实现下列函数。

(1)

(2)

(3)

(4)

题目给出的函数最多为4变量函数,而4选1数据选择器适于实现3变量以下的逻辑函数,若需实现4变量函数,可以采用先扩展,再实现函数的方法。

8选1数据选择器适于实现4变量以下的逻辑函数。

4选1数据选择器74153函数式为:

4选1数据选择器74153扩展为8选1数据选择器以及8选1数据选择器74151函数式为:

(1)实现函数

用4选1数据选择器74153实现设计,对照74153函数式以及设计函数式,令A1=A,A0=B,D0=D1=C,

,D3=1,电路如习题图3.17(a)所示。

用8选1数据选择器74151实现设计,用对照法,令A2=A,A1=B,A0=C,D1=D3=D4=D6=D7=1,D0=D2=D5=0,电路如习题图3.17(b)所示。

(2)实现函数

用4选1数据选择器74153实现设计,令A1=A,A0=B,

,D2=D3=1,电路如习题图3.17(c)所示。

用8选1数据选择器74151实现设计,令A2=A,A1=B,A0=C,D0=D2=D4=D5=D6=D7=1,D1=D3=0,

电路如习题图3.17(d)所示。

(3)实现函数

首先将4选1数据选择器74153,扩展为8选1数据选择器,令A2=A,A1=B,A0=C,D0=D4=D6=1,D1=D2=D5=D,

,D7=0,电路如习题图3.17(e)所示。

用8选1数据选择器74151的连接方式与习题图3.17(e)所示完全相同,A2=A,A1=B,A0=C,D0=D4=D6=1,D1=D2=D5=D,

,D7=0,电路如习题图3.17(f)所示。

(4)实现函数

参考(3)的设计过程,令A2=A,A1=B,A0=C,D0=D5=D7=1,D1=D2=D6=D,D3=D4=0,用74153和74151实现的电路如习题图3.17(g)和(h)所示。

习题3.18组合电路的逻辑框图如习题图3.18所示。

试分析输出F3F2F1F0与B3B2B1B0的关系。

图中使用的是8选1数据选择器,其函数表达式为:

图中的地址信号连接如下:

左侧数据选择器的数据连接方式:

D0=B0,D1=D2=D3=1,D4=

,D5=D6=D7=0,将地址和数据连接方式代入数据选择器的函数表达式,则求出F3函数式。

同样道理,可以求出F1函数式。

输出F3F2F1F0与B3B2B1B0的函数式如下:

根据函数式列写真值表如习题3.18表所示。

习题3.18表真值表

B3

B2

B1

B0

F3

F2

F1

F0

习题3.19用8选1数据选择器设计一个组合逻辑电路。

该电路有3个输入逻辑变量A、B、C和1个工作状态控制变量M。

当M=0时电路实现“意见一致”功能(A、B、C状态一致时输出为1,否则输出为0),而M=1时电路实现“多数表决”功能,即输出与A、B、C中多数的状态一致。

(1)根据题意设输入变量为MABC,设输出变量F。

(2)根据题目对输入、输出变量提出的要求,列写真值表如习题3.19表所示。

习题3.19表真值表

MABC

S

EN

A0

C

A2

A1

7

G

B

2

A

M

3

4

5

6

习题3.19图

由真值表,求得函数表达式:

8选1数据选择器函数表达式为:

对照上述两表达式,令A2=A,A1=B,A0=C,

,D4=D5=D6=M,D7=1,D1=D2=D3=0。

(3)由逻辑表达式画出逻辑图如习题3.19图所示。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1