数字频率计的介绍外文翻译.doc

上传人:b****2 文档编号:1649567 上传时间:2022-10-23 格式:DOC 页数:11 大小:57KB
下载 相关 举报
数字频率计的介绍外文翻译.doc_第1页
第1页 / 共11页
数字频率计的介绍外文翻译.doc_第2页
第2页 / 共11页
数字频率计的介绍外文翻译.doc_第3页
第3页 / 共11页
数字频率计的介绍外文翻译.doc_第4页
第4页 / 共11页
数字频率计的介绍外文翻译.doc_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

数字频率计的介绍外文翻译.doc

《数字频率计的介绍外文翻译.doc》由会员分享,可在线阅读,更多相关《数字频率计的介绍外文翻译.doc(11页珍藏版)》请在冰豆网上搜索。

数字频率计的介绍外文翻译.doc

译文:

数字频率计的介绍

译自文斯凯赫尔著的VHDL逻辑设计76-88页

数字频率计是通信设备、音、视频等科研生产领域不可缺少的测量仪器。

采用VerilogHDL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分外,其余全部在一片FPGA芯片上实现。

整个系统非常精简,且具有灵活的现场可更改性。

1等精度测频原理

频率的测量方法主要分为2种方法:

(1)直接测量法,即在一定的闸门时间内测量被测信号的脉冲个数。

(2)间接测量法,例如周期测频法、VF转换法等。

间接测频法仅适用测量低频信号。

基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。

频率测量方法的主要测量预置门控信号GATE是由单片机发出,GATE的时间宽度对测频精度影响较少,可以在较大的范围内选择,只要FPGA中32b计数器在计100M信号不溢出都行,根据理论计算GATE的时间宽度Tc可以大于42.94s,但是由于单片机的数据处理能力限制,实际的时间宽度较少,一般可在10~0.1s间选择,即在高频段时,闸门时间较短;低频时闸门时间较长。

这样闸门时间宽度Tc依据被测频率的大小自动调整测频,从而实现量程的自动转换,扩大了测频的量程范围;实现了全范围等精度测量,减少了低频测量的误差。

本设计频率测量方法的主要测量控制框图如图1所示。

图1中预置门控信号GATE是由单片机发出,GATE的时间宽度对测频精度影响较少,可以在较大的范围内选择,只要FPGA中32b计数器在计100M信号不溢出都行,根据理论计算GATE的时间宽度Tc可以大于42194s,但是由于单片机的数据处理能力限制,实际的时间宽度较少,一般可在10~011s间选择,即在高频段时,闸门时间较短;低频时闸门时间较长。

这样闸门时间宽度Tc依据被测频率的大小自动调整测频,从而实现量程的自动转换,扩大了测频的量程范围;实现了全范围等精度测量,减少了低频测量的误差。

2频率计的实现

等精度测频的实现方法。

可简化为CNT1和CNT2是两个可控计数器,标准频率(f)信号从CNF1的时钟输入端cIK输入,经整形后的被测信号(f)从CNT2的时钟输入端cIK输入。

每个计数器中的CEN输入端为使能端,用来控制计数器计数。

当预置闸门信号为高电平(预置时间开始)时。

被测信号的上升沿通过D触发器的输入端,同时启动两个汁数器计数;同样,当预置闸门信号为低电平(预置时间结束)时,被测信号的上升沿通过D触发器的输出端,使计数器停止计数。

3频率计的位数及相关指标

位数:

同时最多能显示的数字位数。

平常计数式的8位频率计只有几百元就可买到。

对于高精度的测量,9位刚刚开始,11位算中等,13位才能算比较高级。

溢出位:

把溢出位算进去的总等效位。

有些频率计带有溢出功能,即把最高位溢出不显示而只显示后面的位,以便达到提高位数的目的。

这里个别指标是估计值。

速度:

即每秒能出多少位。

有了高位数的但测量特别慢也失去了意义。

平常计数式的8位频率计,测量10MHz信号、1秒闸门能得到10,000,000Hz,这实际上才是7位(位数等于取常用对数后的值),要想得到8位,需要10秒闸门;要想得到9位,需要100秒闸门,依次类推,即便显示允许,11位需要10000秒的测量时间了。

但无论如何,还是每秒7位。

因此,要想快速得到高位数则必须高速度。

分辨:

这就像一个电压表最小可以分辨出多大的电压的指标是类似的,越小越好,单位ps(皮秒)。

1000ps=1ns。

假设你用1ns的频率计要分辨出1e-12的误差,就需要1ns/1e-12=1000秒的时间。

而假设你有另外一个频率计的分辨是100ps,那么测量时间就可以缩短10倍为100秒,或者可以在相同的1000秒下测量出1e-14的误差。

4时间频率测量

相比传统的电路系统设计方法,EDA技术采用VHDL语言描述电路系统,包括电路的结构、行为方式、逻辑功能及接口。

VerilogHDL具有多层次描述系统硬件功能的能力,支持自顶向下的设计特点。

设计者可不必了解硬件结构。

从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用Ver-ilogHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的FPGA器件中去,从而实现FPGA的设计。

时间频率测量是电子测量的重要领域。

频率和时间的测量已越来越受到重视,长度、电压等参数也可以转化为与频率测量有关的技术来确定。

本文通过对传统的多周期同步法进行探讨,提出了多周期同步法与量化时延法相结合的测频方法。

最简单的测量频率的方法是直接测频法。

直接测频法就是在给定的闸门信号中填入脉冲,通过必要的计数电路,得到填充脉冲的个数,从而算出待测信号的频率或周期。

在直接测频的基础上发展的多周期同步测量方法,在目前的测频系统中得到越来越广泛的应用。

多周期同步法测频技术的实际闸门时间不是固定的值,而是被测信号的整周期倍,即与被测信号同步,因此消除了对被测信号计数时产生的±1个字误差,测量精度大大提高,而且达到了在整个测量频段的等精度测量,

在时频测量方法中,多周期同步法是精度较高的一种,但仍然未解决±1个字的误差,主要是因为实际闸门边沿与标频填充脉冲边沿并不同步

Tx=N0T0-△t2+△t1,如果能准确测量出短时间间隔Δt1和Δt2,也就能够准确测量出时间间隔Tx,消除±1个字的计数误差,从而进一步提高精度。

为了测量短时间间隔Δt1和Δt2,通常使用模拟内插法或游标法与多周期同步法结合使用,虽然精度有很大提高,但终未能解决±1个字的误差这个根本问题,而且这些方法设备复杂,不利于推广。

要得到精度高,时间响应快,结构简单的频率和时间测量方法是比较困难的。

从结构尽量简单同时兼顾精度的角度出发,将多周期同步法与基于量化时延的短时间间隔测量方法结合,实现了宽频范围内的等精度高分辨率测量。

量化时延法测短时间间隔

光电信号可以在一定的介质中快速稳定的传播,且在不同的介质中有不同的延时。

通过将信号所产生的延时进行量化,实现了对短时间间隔的测量。

其基本原理是“串行延迟,并行计数”,而不同于传统计数器的串行计数方法,即让信号通过一系列的延时单元,依靠延时单元的延时稳定性,在计算机的控制下对延时状态进行高速采集与数据处理,从而实现了对短时间间隔的精确测量。

量化时延思想的实现依赖于延时单元的延时稳定性,其分辨率取决于单位延时单元的延迟时间。

作为延时单元的器件可以是无源导线,有源门器件或其他电路。

其中,导线的延迟时间较短(接近光速传播的延迟),门电路的延迟时间相对较长。

考虑到延迟可预测能力,最终选择了CPLD器件,实现对短时间间隔的测量。

将短时间间隔的开始信号送入延时链中传播,当结束信号到来时,将此信号在延时链中的延时状态进行锁存,通过CPU读取,判断信号经过的延时单元个数就可以得到短时时间间隔的大小,分辨率决定于单位延时单元的延时时间。

一般来讲,为了测量两个短时间间隔,使用两组延时和锁存模块,但实际上,给定的软件闸门时间足够大,允许CPU完成取数的操作,即能够在待测时间间隔结束之前取走短时间隔Δt1对应的延时单元的个数,通过一定的控制信号,可以只用一组延时和锁存单元,这样可以节省CPLD内部的资源。

利用多周期同步与量化时延相结合的方法,计算公式为:

T=n0t0+n1t1-n2t1

上式中,n0为对填充脉冲的计数值;t0为填充脉冲的周期,即100ns;n1为短时间隔Δt1对应的延时单元的个数;n2为短时间隔Δt2对应的延时单元的个数;t1为量化延迟器件延时单元的延迟量(4.3ns)。

这样,利用多周期同步法,实现了闸门和被测信号同步;利用量化时延法,测量了原来测不出来的两个短时间间隔,从而准确地测量了实际闸门的大小,也就提高了测频的精度。

由于频率合成器输出的频率信号最小只能调到10Hz,把XDU-17的测量值作为标准,可以计算出样机测频的精度。

例如,被测信号为15.000010MHz时被测信号为5.00001002MHz时,从上面的计算可以看出,样机的分辨率已达ns量级,下面从理论分析的角度来说明这一点。

前面已经分析过,多周期同步法测频时,它的测量不确定度为:

当输入f0为10MHz,闸门时间为1s时,测量的不确定度为±1×10-7/s。

当与量化延时测量与短时间间隔电路相结合时,测量的不确定度可以从下述推导出来。

在采用多周期同步法时,Tx为待测的多周期值,T0为采用的时基周期。

Tx=NT0+△t1-△t2

与量化延时电路相结合后有:

Tx=NT0+(N1-N2)td±δTx

这里,δTx为测量的不准确度。

对上式微分得:

\δTx≤±2td

由上式可知,此方法的测量精度取决于td,它的稳定性与大小直接影响测量值的不确定度。

所以采用各种方法,计数器可在整个频率量程内实现等精度的测量,而且测量精度有显著提高,测量分辨率提高到4.3ns,且消除了±1个字的理论误差,精度提高了20多倍。

结束语本文将给出了一种新的测频方法。

基于此方法的频率计的数字电路部分集成在一片CPLD中,大大减小了整个仪器的体积,提高了可靠性,且达到了很高的测量分辨率。

5频率计的VHDL设计

利用ALTERA公司的FPGA芯片EPF10K10,使用VHDL编程语言设计等精度频率计,给出核心程序,经过ISPEXPER仿真后,验证设计是成功的,达到预期结果。

传统的频率计相比,FPGA的频率计简化了电路板的设计,提高了系统设计的实现性和可靠性,测频范围达到100MHz,实现了数字系统硬件的软件化,这是数字逻辑设计的新趋势。

本设计采用ALTERA公司的FPGA芯片EPF10K10,该芯片管脚间的延迟为5ns,即频率为200MHz,应用标准化的硬件描述语言VHDL有非常丰富的数据类型,他的结构模型是层次化的,利用这些丰富的数据类型和层次化的结构模型,对复杂的数字系统进行逻辑设计并用计算机仿真,逐步完善后进行自动综合生成符合要求的、在电路结构上可实现的数字逻辑,再下载到可编程逻辑器件中,即可完成设计任务。

原文:

Introductionofdigitalfrequencymeter

fromVinSkahill.VHDLforProgrammableLogicpage76-88

DigitalFrequencyofcommunicationsequipment,audioandvideo,andotherareasofscientificresearchandproductionofanindispensableinstrument.ProgrammingusingVerilogHDLDesignandImplementationofthedigitalfrequency,inadditiontotheplasticpartofthemeasuredsignal,anddigitalkeyforapartoftheshow,allinanFPGAc

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 人力资源管理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1