PT基本命令Word格式.doc

上传人:b****3 文档编号:15404429 上传时间:2022-10-30 格式:DOC 页数:3 大小:36KB
下载 相关 举报
PT基本命令Word格式.doc_第1页
第1页 / 共3页
PT基本命令Word格式.doc_第2页
第2页 / 共3页
PT基本命令Word格式.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

PT基本命令Word格式.doc

《PT基本命令Word格式.doc》由会员分享,可在线阅读,更多相关《PT基本命令Word格式.doc(3页珍藏版)》请在冰豆网上搜索。

PT基本命令Word格式.doc

-statusviolated

-check“setuphold”

-sort_byslack

-sort_bycheck_type

4.Report_timing:

显示theworstslackforsetuptime

5.Report_timing–delaymax:

看setuptime

6.Report_timing–delaymin:

看holdtime

7.Pt_shell–x“restore_sessionorca_savesession”:

继续原来保存的信息

8.Setsh_enable_page_modetrue:

分页显示

9.Report_liblibname:

看库的信息

10.Report_timing–groupSYS_clk:

看某个时钟的信息

11.PreCTSclockUncertainty=clockskew+clockjitter+margin

PostCTSclockUncertainty=clockjitter+margin还要加上

Set_propagated_clock[all_clocks]

12.Report_timing–pathfull_clock:

可以显示clock的详细信息

13.Report_timing–fromE,

Report_timing–toZ,

Report_timing–fromG–toFF4/D:

显示详细路径的信息

14.Report_port–input_delay–output_delayinoutpad,

Report_port–input_delayinputpad

Report_port–ouput_delayoutputpad:

看port的信息

15.Report_timing–input_pins:

可以看到net的delay

16.Report_lib–timing_arcslibnamecellname:

看库单元的时序信息

17.Report_cell–connections–verboseInstance_name:

看单元的信息

28.Report_timing–max_paths2–nworst2:

可以看两条path的两个最坏的timing,

default为1。

29.Report_timing–slack_lesser_than

-slack_greater_than

-to–rise_to–fall_to

-from–rise_from–fall_from

-through–rise_through–fall_through

-exclude–rise_exclude–fall_exclude

30.Report_clock_timing:

看clockskew,latencyortransition等信息

31.get_pins–of_objectsinstance_name:

Findallpinnamesforaspecificcell.

32.all_fanin–flat–start–toFF1/D:

Allthestartpointpinstoaspecificendpoint.

33.all_inputs–clockCLK1:

Findallinputportsconstrainedbyaclock

34.all_outputs:

Findalloutputports.

35.all_registers–level_sensitive–data_pins:

Findthedatapinnamesofalllatches.

36.all_connectedU1/Z:

Findthenetconnectedtoapin.

37.report_constraint–all:

Listallviolations,sortedbyclockdomain

38.report_timing–nets:

可以看到Fanout

-significant_digits3:

显示小数点后3位

39.get_alternative_lib_cell–liblib_nameinstance_name:

找功能相同的单元

40.report_net–connections–verbosenetname

41.report_bottleneck–cost_typefanout_endpoint_cost:

Identifycellsinvolvedinmultipleviolations.

.synopsys_pt.setup

aliash{history}

aliaspage_on{setsh_enable_page_modetrue}

aliaspage_off{setsh_enable_page_modefalse}

historykeep200

setsh_enable_line_editingtrue

三、一个基本的脚本文件

SourceApplicationVariables

ReadNetlist+SDF

Constrain

ValidateConstraints

InitialReports

SaveSession

PrintMessagesandQuit

./RUN.tcl

#Increasesignificantdigitsforreports

setreport_default_significant_digints4

#Allowsourcetousesearchpath,defaultfalse

Scripts/variables.tcl

setsh_source_uses_search_pathtrue

四、下面为./RUN.tcl的实例

#RunscriptforORCA

setsearch_path{../scripts./libs./des}

lappendlink_pathtech_lib.dbRAM_lib.db

source./scripts/variables.tcl

#Readallgate-leveldesignfiles

read_verilogmy_full_chip.v

link_designORCA

read_sdf–analysis_typeon_chip_variationorca.sdf.gz

print_message_info;

quit

#TerminateonPrimeTimeandTclerrors

setsh_script_stop_severityE

#ReportmissingSDFdelaysortimingchecks

redirect–append./EW.log\

{report_annotated_delay;

report_annotated_check}

redirect–append./EW.log{print_message_info}

#显示Warning&

Error

setsh_source_emits_line_numbersW

source–echo–verbose./scripts/constraints.tcl

#Forfurtherdebugging

check_timing–verbose

#Generateinitialreports

report_analysis_coverage

#savethesession

save_session–replaceorca_savesession

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 工作计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1