EDA设计出租车自动计费系统.docx

上传人:b****1 文档编号:1467603 上传时间:2022-10-22 格式:DOCX 页数:11 大小:117.32KB
下载 相关 举报
EDA设计出租车自动计费系统.docx_第1页
第1页 / 共11页
EDA设计出租车自动计费系统.docx_第2页
第2页 / 共11页
EDA设计出租车自动计费系统.docx_第3页
第3页 / 共11页
EDA设计出租车自动计费系统.docx_第4页
第4页 / 共11页
EDA设计出租车自动计费系统.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

EDA设计出租车自动计费系统.docx

《EDA设计出租车自动计费系统.docx》由会员分享,可在线阅读,更多相关《EDA设计出租车自动计费系统.docx(11页珍藏版)》请在冰豆网上搜索。

EDA设计出租车自动计费系统.docx

EDA设计出租车自动计费系统

EDA设计-出租车自动计费系统

 

课程设计

课程EDA技术课程设计

题目出租车自动计费系统

院系电子科学学院

专业班级电子信息工程

学生姓名

学生学号

指导教师

 

 

2010年3月12日

课程设计任务书

课程EDA技术课程设计

题目出租车自动计费系统

专业电子信息工程姓名学号

主要内容、基本要求、主要参考资料等

主要内容:

设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。

基本要求:

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;

2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉

累计时间每10MIN按0.5元计费。

计时部分:

计算乘客的等待累计时间。

计时器的量程为59MIN,满量程后自动归零。

计程部分:

计算乘客所行驶的公里数。

计程器的量程为99KM,满量程后自动归零。

(4)译码显示模块

译码显示模块完成计价、计时和计程数据显示。

计费数据送入译码显示模块进行译码,最后送至以十元、元、角为单位对应的数码管上显示。

计程数据送入译码显示模块进行译码,最后送至以KM为单位的数码管上显示。

2、模块设计和相应模块程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCHUZUCHEIS

PORT(CLK:

INSTD_LOGIC;--系统时钟

START:

INSTD_LOGIC;--计费开始信号

STOP:

INSTD_LOGIC;--行驶中,中途等待停止信号

FIN:

INSTD_LOGIC;--1KM脉冲信号

CHA3,CHA2,CHA1,CHA0:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);--计费输出信号

KM1,KM0:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);--行驶公里数

MIN1,MIN0:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));--等待时间输出

ENDENTITYCHUZUCHE;

ARCHITECTUREBEHAVEOFCHUZUCHEIS

SIGNALQ_1:

INTEGERRANGE0TO99;

SIGNALW:

INTEGERRANGE0TO59;

SIGNALC3,C2,C1,C0:

STD_LOGIC_VECTOR(3DOWNTO0);

SIGNALK1,K0:

STD_LOGIC_VECTOR(3DOWNTO0);

SIGNALM1:

STD_LOGIC_VECTOR(2DOWNTO0);

SIGNALM0:

STD_LOGIC_VECTOR(3DOWNTO0);

SIGNALTEMP0,TEMP1:

STD_LOGIC_VECTOR(3DOWNTO0);

SIGNALF_1:

STD_LOGIC;

SIGNALEN0,EN1:

STD_LOGIC;

BEGIN

FEIPIN:

PROCESS(CLK,START)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IFSTART='0'THEN

F_1<='0';

Q_1<=0;

ELSE

IFQ_1<=99THEN

Q_1<=0;

F_1<='1';

ELSE

Q_1<=Q_1+1;

F_1<='0';

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(FIN)

BEGIN

IFFIN'EVENTANDFIN='1'THEN

IFSTART='0'THEN

K1<="0000";

K0<="0000";

ELSIFSTOP='0'THEN

IFK0="1001"THEN

K0<="0000";

IFK1="1001"THEN

K1<="0000";

ELSE

K1<=K1+1;

ENDIF;

ELSE

K0<=K0+1;

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(F_1)

BEGIN

IFF_1'EVENTANDF_1='1'THEN

IFSTART='0'THEN

W<=0;

M1<="000";

M0<="0000";

ELSIFSTOP='1'THEN

IFW=59THEN

W<=0;

IFM0="1001"THEN

M0<="0000";

IFM1="0101"THEN

M1<="0000";

ELSE

M1<=M1+1;

ENDIF;

ELSE

M0<=M0+1;

ENDIF;

ELSE

W<=W+1;

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(CLK)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IFSTART='0'THEN

EN0<='0';

EN1<='0';

ELSIFSTOP='0'THEN

EN1<='0';

IFK1&K0>"00000011"THEN

EN0<='1';

ELSE

EN0<='0';

ENDIF;

ELSIFSTOP='1'THEN

EN0<='0';

IFM1&M0>"0000010"THEN

EN1<='1';

ELSE

EN1<='0';

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(CLK,START)

VARIABLEC13,C15:

INTEGER;

BEGIN

IFSTART='0'THEN

C3<="0000";C2<="0000";C1<="0011";C0<="0000";

C13:

=0;C15:

=0;TEMP0<="0000";TEMP1<="0000";

ELSIFCLK'EVENTANDCLK='1'THEN

IF(EN0='1')THEN

IF(C13<13)THEN

C13:

=C13+1;

IF(C13=1)THEN

TEMP0<=K0;

ENDIF;

IFC0="1001"THEN

C0<="0000";

IFC1="1001"THEN

C1<="0000";

IFC2="1001"THEN

C2<="0000";

IFC3="1001"THEN

C3<="0000";

ELSE

C3<=C3+1;

ENDIF;

ELSE

C2<=C2+1;

ENDIF;

ELSE

C1<=C1+1;

ENDIF;

ELSE

C0<=C0+1;

ENDIF;

ELSIF(K0/=TEMP0)THENC13:

=0;

ENDIF;

ELSIF(EN1='1')THEN

IF(C15<15)THEN

C15:

=C15+1;

IF(C15=1)THEN

TEMP1<=M0;

ENDIF;

IFC0="1001"THEN

C0<="0000";

IFC1="1001"THEN

C1<="0000";

IFC2="1001"THEN

C2<="0000";

IFC3="1001"THEN

C3<="0000";

ELSE

C3<=C3+1;

ENDIF;

ELSE

C2<=C2+1;

ENDIF;

ELSE

C1<=C1+1;

ENDIF;

ELSE

C0<=C0+1;

ENDIF;

ELSIF(M0/=TEMP1)THENC15:

=0;

ENDIF;

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(F_1)

BEGIN

IFF_1'EVENTANDF_1='1'THEN

IFSTART='0'THEN

MIN1<="0000";MIN0<="0000";

KM1<="0000";KM0<="0000";

CHA3<="0000";CHA2<="0000";CHA1<="0000";CHA0<="0000";

ELSE

MIN1<='0'&M1;MIN0<=M0;

KM1<=K1;KM0<=K0;

CHA3<=C3;CHA2<=C2;CHA1<=C1;CHA0<=C0;

ENDIF;

ENDIF;

ENDPROCESS;

ENDBEHAVE;

3、仿真及仿真结果分析

仿真图1

仿真图2

图中显示为出租车总行驶里程为8KM,累计等待时间为3MIN,所以总费用为8.5元。

4、实验调试结果

为验证所设计程序是否正确,将程序下载进行硬件测试。

在QuartusⅡ开发环境中进行管脚锁定,连接好数码管驱动电路,然后将目标文件下载到器件中。

经过多次数据测试,显示正常,基本实现本实验的要求。

三、结论及心得体会

这次的课程设计对于我来说是毕业前的一次演练,从选题到画出流程图,再到写出程序,不停的编不停的改不停的查资料……直至最后完成,有问题大家都坐在一起讨论,一起努力,一起攻克问题。

我想我们享受的就是这个过程,而不仅仅只是结果。

通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,它们都不是问题,都不是困难。

参考资料

[1]潘松著.EDA技术实用教程(第二版).北京:

科学出版社,2005.

[2]康华光主编.电子技术基础模拟部分.北京:

高教出版社,2006.

[3]阎石主编.数字电子技术基础.北京:

高教出版社,2003.

课程设计成绩评价表

课程名称

EDA技术课程设计

题目名称

出租车自动计费系统

学生姓名

学号

指导教师姓名

职称

序号

评价项目

指标

满分

评分

1

工作量、工作态度和出勤率

按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。

20

2

课程设计质量

课程设计选题合理,计算过程简练准确,分析问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。

45

3

创新

工作中有创新意识,对前人工作有一些改进或有一定应用价值。

5

4

答辩

能正确回答指导教师所提出的问题。

30

总分

评语:

 

指导教师:

2010年3月13日

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 初中教育 > 政史地

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1