基于VHDL的多路抢答器的设计Word文档格式.docx

上传人:b****9 文档编号:13060762 上传时间:2022-10-04 格式:DOCX 页数:25 大小:494.12KB
下载 相关 举报
基于VHDL的多路抢答器的设计Word文档格式.docx_第1页
第1页 / 共25页
基于VHDL的多路抢答器的设计Word文档格式.docx_第2页
第2页 / 共25页
基于VHDL的多路抢答器的设计Word文档格式.docx_第3页
第3页 / 共25页
基于VHDL的多路抢答器的设计Word文档格式.docx_第4页
第4页 / 共25页
基于VHDL的多路抢答器的设计Word文档格式.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

基于VHDL的多路抢答器的设计Word文档格式.docx

《基于VHDL的多路抢答器的设计Word文档格式.docx》由会员分享,可在线阅读,更多相关《基于VHDL的多路抢答器的设计Word文档格式.docx(25页珍藏版)》请在冰豆网上搜索。

基于VHDL的多路抢答器的设计Word文档格式.docx

本设计使用VHDL语言设计一个四路数字竞赛抢答器系统。

VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了四路数字竞赛的各模块的功能要求、基本原理以及实现方法。

本系统的设计就是采用VHDL硬件描述语言编程,基于QuatusII6.0平台进行编译和仿真来实现的,其采用的模块化、逐步细化的设计方法有利于系统的分工合作,并且能够及早发现各子模块及系统中的错误,提高系统设计的效率。

抢答器的主要功能模块是是:

1、对第一抢答信号的鉴别和锁存功能;

2、计分功能。

3、数码显示;

4、答题限时功能。

在本设计主要讲述抢答、计分和警告的功能。

关键词:

抢答器 EDA VHDL

Basedonthedesignofthemulti-wayResponderVHDL

WENChao

ChongqingThreeGorgesCollegeofAppliedTechnologyDepartmentofElectronicandInformationEngineering(AppliedElectronicstechnologydirection)Professional2009ChongqingWanzhou404000

Abstract:

Responderisakindofelectronicproducts,hasbeenwidelyusedinallkindsof

intelligencecompetitionandknowledgecontestsoccasions,iscontestanswersmusthaveacommondevicecircuitstructureforms.

ThedesignoftheuseofVHDLlanguagedesignafourwayraceresponderdigitalsystem.VHDLisafullrangeofhardwaredescriptionlanguage,coveringalmostthepastvarioushardwaredescriptionlanguagefunction,thetop-downorbottom-upcircuitdesignprocesscanuseVHDLtocomplete.ThispaperexpoundstheconceptandthedevelopmentofEDA,VHDLlanguageadvantagesandgrammaticalstructureandAnalysisonthefourdigitalcompetitioneachmodulefunctionalrequirements,principleandimplementationmethod.ThedesignofthissystemistheuseofVHDLhardwaredescriptionlanguage,basedonQuatusII6.0platformcompilationandsimulationtoachieve,themodular,stepwiserefinementdesignmethodishelpfulforsystemofdivisionoflabour,andearlyidentificationofeachmoduleandthesystemerror,improvetheefficiencyofsystemdesign.Viestoanswerfirstthemainfunctionmoduleis:

1,thefirstviestoanswerfirstthedifferentialsignalandlatchfunction;

2,scorefunction.In3,adigitaldisplay;

4,theanswertimelimitfunction.Inthisdesignismainlyaboutanswering,scoringandwarningfunction.

Keywords:

responderEDAVHDL

目录

1绪论 1

2整体设计方案 1

2.1系统设计要求 1

2.2系统设计方案 2

3子模块的设计思想 3

3.1抢答器模块 3

3.2计时模块 5

3.3计分模块 6

3.4译码显示模块 8

3.5抢答器的系统实现 9

4多路抢答器子模块的仿真验证 11

4.1鉴别模块的仿真验证 11

4.2计时模块的仿真验证 12

4.3计分模块的仿真验证 12

4.4数显模块的仿真验证 14

4.5系统整体的仿真验证 15

5总结 16

5.1多路抢答器设计结果 16

5.2对设计的建议 16

致谢 17

参考文献 17

附录1抢答器鉴别模块源代码 18

2报警模块源代码 19

3计分模块源代码 20

2009届电子信息工程(应用电子技术方向)专业毕业设计(论文)

1绪论

随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用越来越多地渗透到国民经济的各个部门,目前数字电子技术已经广泛应用于计算机、自动控制、电子测量仪表、电视、雷达、通信等各个领域。

其中,抢答器就是典型的一种运用数字集成的设备。

在日常生活中,各种智力竞赛越来越多,而抢答器是必不可少的设备之一,答题时一般分为必答和抢答两种。

必答有时间限制,到时要告警。

而抢答则要求参赛者做好充分准备,由主持人宣读完题目后,参赛者开始抢答,谁先按下按钮,就由谁答题,但竞赛过程中很难准确判断出谁先按下按键,因此使用抢答器来完成这一功能是很有必要的。

它能够准确、公正、直观地判断出首轮抢答者,并且通过抢答器的数码显示和警示蜂鸣等方式指示出首轮抢答者。

以下几章主要介绍抢答器的抢答鉴别、计分和报警功能的实现,VHDL语言的特点及发展趋势,QuatusII6.0开发平台的仿真等。

2整体方案设计

2.1系统设计要求

一般来说,设计一台智能抢答器,必须能够准确判断出第一位抢答者,并且通过数显、蜂鸣这些途径能让人们很容易得知谁是抢答成功者,并设置一定的回答限制时间,让抢答者在规定时间内答题,主持人根据答题结果实行增减分的操作,并将分数显示在屏幕上,评出最终赢家。

所以我们在设计智能抢答器的模块需要满足鉴别、计时、计分、数显等功能,具体设计要求如下:

(1)抢答器可容纳四组选手,并为每组选手设置一个按钮供抢答者使用;

为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始;

(2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。

在主持人将系统复位并发出抢答指令后,蜂鸣器提示抢答开始,计时显示器显示初始时间并开始倒计时,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。

(3)如果无人抢答,计时器倒计时到零,蜂鸣器有抢答失败提示,主持人可以按复位键,开始新一轮的抢答。

(4)抢答器具有限时抢答的功能,且一次抢答的时间由主持人设定,本抢答时间设定为60秒。

当主持人启动开始键后,要求计时器采用倒计时,同时最后十秒扬声器会发出声响提示;

(5)参赛选手在设定的时间内抢答,则抢答有效,定时器停止工作,主持人根据抢答结果给出分数,并由数码管显示选手的组别抢答分数,并一直保持到主持人将系统清零

9

为止。

2.2系统设计方案

本设计为四路智能抢答器,所以这种抢答器要求有四路不同组别的抢答输入信号,并能识别最先抢答的信号,直观地通过数显和蜂鸣等方式显示出组别;

对回答问题所用的时间进行计时、显示、超时报警、预置答题时间,同时该系统还应有复位、倒计时启动功能。

抢答过程:

主持人按下系统复位键(RST),系统进入抢答状态,计时模块和计分模块输出初始信号给数码显示模块并显示出初始值。

当某参赛组抢先将抢答键按下时,系统将其余三路抢答信号封锁,同时扬声器发出声音提示,组别显示模块送出信号给数码显示模块,从而显示出该抢答成功组台号,并一直保持到下一轮主持人将系统清零为止。

主持人对抢答结果进行确认,随后,计时模块送出倒计时计数允许信号,开始回答问题,计时显示器则从初始值开始以计时,在规定的时间内根据答题的正误来确定加分或减分,并通过数码显示模块将成绩显示出来。

计时至0时,停止计时,扬声器发出超时报警信号,以中止未回答完问题。

当主持人给出倒计时停止信号时,扬声器停止鸣叫。

若参赛者在规定时间内回答完为题,主持人可给出倒计时计数停止信号,以免扬声器鸣叫。

主持人按下复位键,即RST为高电平有效状态,清除前一次的抢答组别,又可开始新的一轮的抢答。

此抢答器的设计中采用自顶向下的设计思路,运用VHDL硬件描述语言对各个模块进行层次化、系统化的描述,并且先设计一个顶层文件,再把各个模块连接起来。

【3】系统的总体框图如下:

图2-1系统的总体框图

2.3子模块的设计思想和实现

根据对抢答器的功能要求,把要设计的系统划分为五个功能模块:

抢答信号鉴别模块、计时模块、计分模块、数码显示模块和扬声器控制电路,具体的说,显示模块又包含最先抢答的组别显示电路、计时值显示电路和计分显示电路。

计时模块、计分模块、数码显示模块和扬声器控制电路,

3.1抢答鉴别模块

抢答鉴别模块用来准确直观地判断A、B、C、D四组抢答者谁最先按下按钮,并为显示端送出信号,通过数显和蜂鸣等途径使观众能够清楚地知道是哪一组抢答成功,是整个系统的核心部分。

同时组别显示端为下一模块输入信号,以方便主持人为该组抢答成功者进行加减分的操作。

抢答鉴别模块的元件图如下图所示:

图3-1鉴别模块元件框图

引脚作用:

输入信号:

各组的抢答按钮A、B、C、D,系统清零信号INI。

输出信号:

各组的抢答按钮显示端A1、B1、C1、D1,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 经济学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1