基于EDA技术的交通灯控制器设计.doc

上传人:b****2 文档编号:1260717 上传时间:2022-10-19 格式:DOC 页数:18 大小:324.50KB
下载 相关 举报
基于EDA技术的交通灯控制器设计.doc_第1页
第1页 / 共18页
基于EDA技术的交通灯控制器设计.doc_第2页
第2页 / 共18页
基于EDA技术的交通灯控制器设计.doc_第3页
第3页 / 共18页
基于EDA技术的交通灯控制器设计.doc_第4页
第4页 / 共18页
基于EDA技术的交通灯控制器设计.doc_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

基于EDA技术的交通灯控制器设计.doc

《基于EDA技术的交通灯控制器设计.doc》由会员分享,可在线阅读,更多相关《基于EDA技术的交通灯控制器设计.doc(18页珍藏版)》请在冰豆网上搜索。

基于EDA技术的交通灯控制器设计.doc

湖北民族学院

信息工程学院

EDA课程设计报告书

题目:

基于EDA技术的交通灯控制器设计

专业:

电气工程及其自动化

班级:

0308407

学号:

030840705

学生姓名:

指导教师:

袁海林

2011年5月19日信息工程学院课程设计任务书

学号

030840705

学生姓名

专业(班级)

0308407

设计题目

基于EDA技术的交通控制器设计

以EDA为中央处理器,配合FPGA,通过程序进行控制来实现整个过程。

要求:

字数在5000左右

[1]徐春娇.基于VHDL状态机设计的智能交通控制灯[R].中国地质大学(北京):

地球物理与信息技术学院,2007.

[2]曹敏晖.城市交通存在的问题及对策分析[D].河南:

郑州轻工业学院,2008.

指导教师签字

学生姓名:

学号:

030840705班级:

0308407

课程设计题目:

基于EDA技术的交通控制设计

指导教师评语:

成绩:

指导教师:

年月日

信息工程学院课程设计成绩评定表年月日

摘要

实现路口交通灯系统控制的方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。

但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了设计难度。

采用EDA技术,应用VHDL硬件电路描述语言实现交通灯系统控制器的设计,利用QUARTUSII集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。

该灯控制逻辑逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行[1]

关键词:

EDA;FPGA;交通控制器

Abstract:

The intersection trafficlight controlsystem toachieve manyways,youcanuse standardlogic devices, programmablelogiccontroller PLC, SCM andotherprograms toachieve. However, thefunctionof thesecontrolmethods arerequired tomodify anddebug hardware support,toacertain extent, increase thedesigndifficulty.With EDA technologies,applications, hardware descriptionlanguage VHDL trafficsignalsystem controllerdesign,theuseof QUARTUSII integrateddevelopmentenvironment for synthesis,simulation, anddownload tothe CPLD programmablelogicdevices,complete systemcontrol function. Thelight controllogic logic canberealizedin3colors alternating lights lit,thetime ofthe countdown,command vehiclesandpedestrians safepassage [1]

Keywords:

EDA;FPGA; traffic controller

目录

目录

基于EDA技术的交通控制器设计 2

摘要 4

1概述 6

2交通控制器用到的理论知识 7

2.1EDA技术 7

2.2硬件FPGA 7

3交通控制器的设计 9

3.1系统设计要求 9

3.2系统设计方案 9

3.2.1交通控制模块 9

3.2.2定时单元模块 12

3.3主要VHDL源程序及分析 12

3.3.1控制器逻辑描述 13

3.3.230s定时单元的VHDL源程序 15

3.3.35s定时单元的VHDL源程序 15

3.3.426s定时单元的VHDL源程序 16

4设计总结 17

参考文献 18

1概述

以前普通的交通灯控制器只能根据事先给定的时间进行通道的通禁控制,但由于如今车辆的迅速增多,给城市交通增加了严重的负担,而交通灯在其中正扮演着越来越重要的角色。

因此,要求寻找一种可以随时针对通道上车辆的密集度来控制和调节此通道的通禁时间,以期达到自动控制的目的,从而减少不合理的堵车现象的发生。

下面的设计中我们融入了这种思想,并将针对VHDL语言描述作具体介绍。

VHDL语言是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构描述、数据流描述以及行为描述三种描述形式的混合描述,可完成自顶向下的电路设计过程。

2交通控制器用到的理论知识

2.1EDA技术

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。

七十年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计,这就是计算机辅助工程的概念。

CAE的主要功能是:

原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

九十年代为ESDA阶段,尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。

在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。

基于以上不足,人们开始追求:

贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。

2.2硬件FPGA

FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点[11]。

FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一个概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输出输入模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。

FPGA的基本特点主要有:

(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

 

(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

(3)FPGA内部有丰富的触发器和I/O引脚。

(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

(5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。

用户可以根据不同的配置模式,采用不同的编程方式。

 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。

掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。

FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。

当需要修改FPGA功能时,只需换一片EPROM即可。

这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。

因此,FPGA的使用非常灵活。

FPGA有多种配置模式:

并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程[6]。

 如何实现快速的时序收敛、降低功耗和成本、优化时钟管理并降低FPGA与PCB并行设计的复杂性等问题,一直是采用FPGA的系统设计工程师需要考虑的关键问题。

如今,随着FPGA向更高密度、更大容量、更低功耗和集成更多IP的方向发展,系统设计工程师在从这些优异性能获益的同时,不得不面对由于FPGA前所未有的性能和能力水平而带来的新的设计挑战。

3交通控制器的设计

3.1系统设计要求

R1Y1G1

R2Y2G2

甲道

乙道

图3-1十字路口交通灯

该交通管理器十字路口甲、乙两条道路(如图3-1)的红、黄、绿三色灯,指挥车辆和行人安全通行。

3.2系统设计方案

3.2.1交通控制模块

(1)S0状态表示乙道绿灯亮,甲道红灯亮,30秒定时器开始计时,且通车时间不超过30秒;

(2)S1状态表示乙道通车时间已达到30秒,此时,乙道黄灯亮,甲道红灯亮,5秒定时器开始计时;

(3)S2状态表示乙道黄灯时间已超过5秒,此时,乙道红灯亮,甲道绿灯亮,30秒定时器开始计时;

(4)S3状态表示甲道通车时间已超过30秒,此时,乙道红灯亮,甲道绿灯亮,5秒定时器开始计时;以后当甲道黄灯亮计时超过5秒时,接S0状态。

(5)甲、乙两道红、黄、绿三个灯分别用R1、Y1、G1和R2、Y2、G2表示。

灯亮用“1”表示,灯不亮用:

“0”表示。

则两个方向信号灯的4种状态,如下表所示。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 材料科学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1