SignalTap II的简易使用方法.docx

上传人:b****4 文档编号:12072470 上传时间:2023-04-16 格式:DOCX 页数:13 大小:1.45MB
下载 相关 举报
SignalTap II的简易使用方法.docx_第1页
第1页 / 共13页
SignalTap II的简易使用方法.docx_第2页
第2页 / 共13页
SignalTap II的简易使用方法.docx_第3页
第3页 / 共13页
SignalTap II的简易使用方法.docx_第4页
第4页 / 共13页
SignalTap II的简易使用方法.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

SignalTap II的简易使用方法.docx

《SignalTap II的简易使用方法.docx》由会员分享,可在线阅读,更多相关《SignalTap II的简易使用方法.docx(13页珍藏版)》请在冰豆网上搜索。

SignalTap II的简易使用方法.docx

SignalTapII的简易使用方法

QuartusII自带逻辑分析仪SignalTapII的使用方法

QuartusII中具有自带的SignalTapII逻辑分析仪,在调试FPGA程序时,可以使用SignalTap观察 FPGA的内部信号状态,便于发现设计中存在的问题。

(一)创建SignalTap文件

1、创建一个新的SignalTap文件。

在File菜单中选择New,在弹出的界面中选择SignalTapIILogicAnalyzerFile,点击OK。

或者直接在Tools菜单中选择SignalTapIILogicAnalyzer,出来如下试图:

图1

2、添加观测节点(Nodes)。

在Setup中双击空白处,或者在Edit菜单中选择Addnotes,弹出NodesFinder界面(如图2所示)。

可以在Named一栏中填入节点名称,点击List按钮逐个添加所需节点。

图2

也可通过Filter栏中选择信号类型对信号进行筛选,点击List按钮,NodesFound窗口中将列出查找到的信号(如图3所示),双击以选取所需的信号,完成后点击OK。

图3

观测节点添加完毕,如图4。

图4

4、为新建的Instance添加采样时钟。

在Setup界面中的Signal窗口中(如图5所示),点击Clock旁边的Browse(…),同样弹出如图2所示的NodeFinder,选择恰当的信号后,点击OK。

图5

5、为新建的Instance设置采样深度、Ram类型以及触发级数。

在Setup界面中的Signal窗口中,Data一栏中的Sample下来菜单中选择采样深度,在Ram下来菜单中选择Ram类型,并在Trigger一栏中的下来框中选择触发级数,最多可以支持10级触发。

选择的采样深度越大,触发级数越高,所占用的LE和Memory的资源就越多(在Instance一栏中可以看到目前每个Instance所用的资源)。

如图6。

图6

6、分别使能所选信号的DataEnable和TriggerEnable(如图7所示)。

图7

7、使能信号的增量编译。

在图7中的IncrementalRoute的符选框中打钩,这样若需要在原来的基础上增加观察信号时,编译器就会采用增量编译来节约编译时间。

8、若需要在抓取信号时使用高级触发方式,则需要在TriggerLevels下的下来菜单中选择Advanced,出现如下视图(图8),在此界面下搭建自己的触发条件(略)。

图8

(二)编译和下载

1、重新编译带有SignalTap的项目。

必须保证在Assignments的菜单中选择Settings弹出的Settings界面中的SignalTapIILogicAnalyzer中使能SignalTapII,并指定STP文件地点(如图9所示),然后在Processing菜单中选择StartComplilation。

图9

2、通过JTAG下载编译完后的SOF文件。

在SignalTapII的JTAGChain一栏中,点击Hardware右边的Setup…,出现HardwareSetup菜单,在HardwareSettings中选择ByteBlasterII,点击SelectHardware,再点击Close即可完成硬件设置(如图10所示),再点击SOF右边的下载小图标即可完成下载。

图10

(三)用SiganlTapII捕捉信号波形

1、设置Buffer捕获模式。

在Setup窗口的Bufferacquisitionmode一栏中,若选择Circular模式,则在其右的下来菜单中选择触发点对应的波形出现在buffer的位置。

一共有四种(如图11所示):

Pre-:

存放的波形中,有12%是触发条件满足前的波形,88%是触发条件满足后的波形。

Center-:

触发条件满足前后的波形各占一半。

Post-:

与Pre-相反,88%是触发条件满足前的波形,有12%是触发条件满足后的波形。

Continuous-:

不停地覆盖存储波形,直至手动停止。

图11

2、设置触发条件。

在TriggerLevel一栏里,若用Basic方式,则被TriggerEnable的信号都有如下几种触发方式,同一级中各信号的触发条件是与的逻辑关系,即当同一级中所以触发条件满足时,才会存储波形。

(如图12):

Don’tCare

Low

FallingEdgeRisingEdgeHigh

EitherEdge

图12

3、运行SignalTapII抓取波形。

运行Processing目录下的Runanalysis,即开始采样捕获波形(如图13)。

 

图13

(四)用SignalTapII分析波形

1、在波形中加入Timebars。

在View的下拉菜单中选择InsertTimeBar…,将弹出图14的对话框,在Sample中填入预使Timebars所处的采样点,点击OK,对应的Timebar就加入波形中。

图14

2、切换总线信号的数据格式。

在波形中选择相应的总线名称,点击右建,在弹出的菜单中选择BusDisplayFormat中所期望的数据格式即可,如图15所示。

图15

3、在DataLog中保存捕获数据。

在Edit的下来菜单中选择SavetoDataLog,弹出一Export的对话框,在File一栏中选择预保存的地点和文件名,在Export一栏的下拉菜单中选择预存储的格式,点击OK即可(如图16所示)。

若存储为波形文件,则可以用QuartusII打开相应的波形文件,如图17所示;若存储为table文件,则可以用UltraEdit打开,如图18所示。

图16

 

图17

图18

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 考试认证 > 从业资格考试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1