完整版本科 EDA技术 82分.docx

上传人:b****8 文档编号:10204131 上传时间:2023-02-09 格式:DOCX 页数:20 大小:59.90KB
下载 相关 举报
完整版本科 EDA技术 82分.docx_第1页
第1页 / 共20页
完整版本科 EDA技术 82分.docx_第2页
第2页 / 共20页
完整版本科 EDA技术 82分.docx_第3页
第3页 / 共20页
完整版本科 EDA技术 82分.docx_第4页
第4页 / 共20页
完整版本科 EDA技术 82分.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

完整版本科 EDA技术 82分.docx

《完整版本科 EDA技术 82分.docx》由会员分享,可在线阅读,更多相关《完整版本科 EDA技术 82分.docx(20页珍藏版)》请在冰豆网上搜索。

完整版本科 EDA技术 82分.docx

完整版本科EDA技术82分

2019年春|EDA技术|本科

、单项选择题

1.转换函数TO_BITVECTOR(A)的功能是(

(A)前面的说法都错误

(B)

VECTOR

将STDLOGIC_VECTOR转换为BIT

(C)将TIME转换为BIT_VECTOR

(D

将REAL转换为BIT_VECTOR

分值:

2

完全正确得分:

2

(A)输入

(B)输岀

(C)仿真

(D

调用

分值:

2

完全正确得分:

2

3.Verilog连线类型的驱动强度说明被省略时,则默认的输岀驱动强度为(

(A)weak

(B)strong

(C)supply

(D

pull

分值:

2

完全正确得分:

2

4.GAL器件可以用()擦除。

(A)普通光

(B)红外线

(C)是

(D

紫外线

分值:

2

完全正确得分:

2

5.在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有()种逻辑值

(A)2

(B)8

(C)3

(D

9

分值:

2

完全正确得分:

2

6.一个进程中允许描述对应于()时钟信号的同步时序逻辑

(A)多个

(B)两个

(C)一个

(D

三个

分值:

2

完全正确得分:

2

(A)CPLG

(B)EEPROM

(C)FPGA

(D

ASIC

分值:

2

完全正确得分:

2

8.

)方式。

使用QuartusII工具软件实现文本设计输入,应采用(

(A)图形编辑

(B)符号编辑

(C)文本编辑

(D

波形编辑

分值:

2

完全正确得分:

2

9.VHDL运算符优先级的说法正确的是()

(A)关系运算的优先级最低

(B)关系运算的优先级最高

(C)逻辑运算的优先级最低

(D

逻辑运算的优先级最高

分值:

2

完全正确得分:

2

10.将设计的系统或电路按照EDA开发软件要求的某种形式表示岀来,并送入计算机的过程

称为()。

(A)仿真

(B)设计输岀

(C)综合

(D

设计输入

分值:

2

完全正确得分:

2

不符合1992VHDL标准的标识符是()

(A)a123

(B)on

分值:

2

答题错误得分:

0

12.CAD阶段具有代表性的设计工具是()

(A)年B

(B)Tango

(C)SoC

(D

VHDL

分值:

2

答题错误得分:

0

13.下列关于信号的说法不正确的是()。

(A)在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用

(B)信号相当于器件内部的一个数据暂存节点

(C)信号的端口模式不必定义,它的数据既可以流进,也可以流岀

(D

信号在整个结构体内的任何地方都能适用。

分值:

2

完全正确得分:

2

14.关于转化函数正确的说法是()

(A)任何数据类型都可以通过转化函数相互转化

(B)任何数据类型都不能转化

(C)前面说法都是错误的

(D

只有特定类型的数据类型可以转化

分值:

2

完全正确得分:

2

15.如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()

(A)1

(B)0

(D)不确定

分值:

2

完全正确得分:

2

16.EDA技术是以()为主要表达方式。

(A)VHDL

(B)CPLG

(C)FPGA

(D

SYSTEM-C

分值:

2

完全正确得分:

2

17.在VHDL语言中,下列对进程(PROCESS)语句的结构及语法规则的描述,正确的是()

(A)敏感信号参数表中,应列岀进程中使用的所有输入信号

PROCESS为一无限循环语句,敏感信号发生更新时启动进程,执行完成后,等待下

(B)

一次启动

(B)进程由说明部分、结构体部分、敏感信号参数表三部分组成

(C)当前进程中声明的变量也可用于其他进程

分值:

2

完全正确得分:

2

18.1987标准的VHDL语言对大小写是()

(A)敏感的

(B)只能用小写

(C)只能用大写

(D

不敏感

分值:

2

完全正确得分:

2

19.在VHDL中,加“+”和减“一”算术运算的操作数据是()数据类型。

(A)整型

(B)整形或实型

(C)实型

(D

任意类型

完全正确得分:

2

20.下列标识符中,()是不合法的标识符。

(A)PPO

(B)END

(C)sig

(D

Not_Ack

分值:

2

完全正确得分:

2

21.

,试指岀下面那个

在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector

赋值语句是错误的。

()

(A)idata<=B”21”;

(B)idata<=X”AB

 

(C)idata<=b

0000_1111

(D

idata<=“00001111

完全正确得分:

2

22.变量和信号的描述正确的是()

(A)变量赋值符号是:

=

(B)二者没有区别

(C)变量赋值符号是<=

(D

信号赋值符号是:

=

分值:

2

完全正确得分:

2

23.下列那个流程是正确的基于EDA软件的FPGA/CPLD设计流程:

()

(A)原理图/HDL文本输入-功能仿真-适配-编程下载-综合-硬件测试

(B)原理图/HDL文本输入-适配-综合-功能仿真-编程下载-硬件测试;

(C)原理图/HDL文本输入-功能仿真-综合-编程下载--适配硬件测试;

(D

原理图/HDL文本输入-功能仿真-综合-适配-编程下载-硬件测试

分值:

2

24.下面关于信号和变量的比较,错误的是(

(A)信号可以表示历史值

(B)变量赋值无时间延迟

(C)信号可以看作硬件的一根连线

(D

进程对变量敏感

分值:

2

答题错误得分:

0

2&在系统可编程器件一般使用计算机的()编程。

(A)并口

(B)VGA口

(C)USB口

(D

串口

分值:

2

完全正确得分:

2

26.下列关于CASE语句的说法不正确的是()

(A)条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。

(B)CASE语句中必须要有WHENOTHERS=>NULL;语句。

(C)CASE语句中的选择值只能岀现一次,且不允许有相同的选择值的条件语句岀现

(D)CASE语句执行必须选中,且只能选中所列条件语句中的一条。

分值:

2

完全正确得分:

2

27.下面哪个说法是错误的()

(A)进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的

(B)进程语句是可以嵌套使用的

(C)块语句是可以嵌套使用的

(D

块语句与块语句之间是并行执行的,块语句内部也是并行执行的

分值:

2

完全正确得分:

2

28.

属于LOOP语句的局部变量,

在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,

()事先声明

(A)必须

(B)不必

(C)其类型需

(D

其属性要

分值:

2

完全正确得分:

2

29.在VHDL标识符命名规则中,以()开头的标识符是正确的。

(A)字母

(B)数字

(C)下划线

(D

字母或数字

分值:

2

完全正确得分:

2

30.STD_LOGIG_1164中字符H定义的是()

(A)初始值

(B)弱信号0

(C)没有这个定义

(D

弱信号1

分值:

2

完全正确得分:

2

31.1987标准的VHDL语言对大小写是()

(A)只能用小写

(B)只能用大写

(C)敏感的

(D

不敏感

分值:

2

完全正确得分:

2

32.在VHDL语言中,下列对时钟边沿检测描述中,错误的是()

(A)ifelk'eventandelk='1'then

(B)

'1'then

ifelk'stableandnotelk=

(C)iffalling_edge(clk)then

(D

ifclk'eventandclk='0'then

分值:

2

完全正确得分:

2

33.不属于顺序语句的是()

(A)IF语句

(B)LOOP语句

(C)|*OCESS语句

(D

CASE语句

分值:

2

答题错误得分:

0

34.串行化设计是一种优化方式,下列哪一项对串行化设计描述正确()

(A)面积优化方法,不会有速度优化效果

可能会有面积优化效果

(C)面积优化方法,同时有速度优化效果

(D

速度优化方法,不会有面积优化效果

分值:

2

答题错误得分:

0

35.关于关系运算符的说法正确的是()

(A)关系运算与数据类型无关

(B)关系运算数据类型要求相同

(C)不支持关系运算

(D

前面说法都错误

分值:

2

完全正确得分:

2

36.在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句

(A)并行和顺序

(B)顺序

(C)并行

(D任意

分值:

2

答题错误得分:

0

37.在VHDL中,含WAIT语句的进程PROCESS的括弧中()再加敏感信号,否则则是非法的。

(A)不能

(B)可以

(C)必须

(D

有时可以

分值:

2

完全正确得分:

2

38.下面关于信号和变量的比较,错误的是()

(A)进程对信号敏感

(B)变量可以是多个进程的全局变量

(C)变量赋值无时间延迟

(D信号可以表示历史值

 

39.

40.

分值:

2

完全正确得分:

2

下列语句中,不属于并行语句的是()

(A)CASE语句

(B)进程语句

(C)元件例化语句

(D

WHEN..ELSE..语句

分值:

2

完全正确得分:

2

在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有()种逻辑值

(A)3

(B)8

(C)2

(D

分值:

2

完全正确得分:

2

4「在VHDL中()不能将信息带岀对它定义的当前设计单元。

(A)变量

(B)常量

(C)信号

(D

数据

分值:

2

完全正确得分:

2

42.MAX+PLUSII的设计文件不能直接保存在()

(A)工程目录

(B)根目录

(C)硬盘

(D

文件夹

完全正确得分:

2

43.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度

(即速度优化);指岀下列哪些方法是面积优化方法()①流水线设计②资源共享③

逻辑优化

④串行化⑤寄存器配平⑥关键路径法

(A)①④⑥

(B)②⑤⑥

(C)②③④

(D

①③⑤

分值:

2

完全正确得分:

2

44.正确给变量X赋值的语句是()

(A)X<=A+B;

(B)X:

=A+b;

(C)X=A+B;

(D

前面的都不正确

分值:

2

答题错误得分:

0

、多项选择题

45.VHDL的数字型文字包括()

(A)物理量文字

(B)以数制基数表示的文字

分值:

2

完全正确得分:

2

46.EDA工具包括()等模块。

下载器

分值:

2

完全正确得分:

2

47.VHDL的数据对象包括(),它们是用来存放各种类型数据的容器。

(A)参数

(B)端口

(C)常量

(D

变量

分值:

2

完全正确得分:

2

48.EDA设计过程中的仿真有()

(A)逻辑仿真

(B)形式仿真

完全正确得分:

2

49.VHDL的数据对象包括(),它们是用来存放各种类型数据的容器。

(A)变量

(B)模块

(C)常量

(D

模块

分值:

2

答题错误得分:

0

50.数字系统的设计步骤包括()

(A)详细设计

系统逻辑描述

分值:

2

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 其它课程

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1